ICode9

精准搜索请尝试: 精确搜索
  • 16_基于FPGA的DA_TLC5615驱动输出2022-02-20 17:34:39

    16_基于FPGA的DA_TLC5615驱动输出 实验原理 芯片简介 TLC5615 为美国德州仪器公司 1999 年推出的产品,是具有串行接口的数模转换器,其输出为电压型,最大输出电压是基准电压值的两倍。带有上电复位功能,即把 DAC 寄存器复位至全零。性能比早期电流型输出的 DAC 要好。只需要通过 3 根串

  • 19_基于FPGA驱动LCD12864显示字符2022-02-20 17:34:18

    19_基于FPGA驱动LCD12864显示字符 实验原理 LCD12864驱动原理     LCD12864的驱动原理与LCD1602的驱动原理相似,不同之处体现在LCD12864采用的是上下分屏或者是左右分屏进行驱动的。     12864是一种图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×64全点阵液晶显示

  • 18_基于FPGA的VGA显示控制2022-02-20 17:34:06

    18_基于FPGA的VGA显示控制 实验原理 VGA标准   VGA(Video Graphics Array)即视频图形阵列,是IBM在1987年随PS/2(PS/2 原是"Personal System 2"的意思,"个人系统2",是IBM公司在1987年推出的一种个人电脑)机推出的。PS/2电脑上使用的键盘鼠标接口就是现在的PS/2接口。因为标准不开放,PS/2

  • 20_基于FPGA驱动LCD12864显示图片2022-02-20 17:33:33

    20_基于FPGA驱动LCD12864显示图片 实验原理 LCD12864图片显示原理 LCD12864的驱动原理和时序可以参考上一节"LCD12864显示字符",LCD12864显示图片的关键点就是要弄懂一个问题:LCD12864中每一像素点要如何去点亮或者是熄灭。 LCD12864的显示屏简图如下:     屏幕分为上下半

  • 03_基于FPGA的LED流水灯原理2022-02-20 17:03:43

    LED流水灯原理 实验原理 LED(Light Emitting Diode) 发光二极管,是一种能够将电能转化为可见光的固态的半导体器件,它可以直接把电转化为光;它是正向导通(正极到负极)反向截止(负极到正极)的器件。对于直插式LED,长脚为正极,短脚为负极.对于贴片式LED,有绿点的一端为负极。 在正常工作

  • 【FPGA学习】Lattice的FPGA的开发记录2022-02-18 21:02:37

    本篇博客记录了Lattice的FPGA的开发方式,基于Lattice的ICE40UP5K 参考: Windows 下 iCE40 FPGA 开源开发环境配置 Lattice官网 持续更新

  • 基于反熔丝FPGA、QSPI FLASH的高可靠程序存储、启动控制系统2022-02-15 23:01:44

    1      涉及术语解释 1.1     三模冗余 三模冗余系统简称TMR(Triple Modular Redundancy),是最常用的一种容错设计技术.三个模块同时执行相同的操作,以多数相同的输出作为表决系统的正确输出,通常称为三取二.三个模块中只要不同时出现两个相同的错误,就能掩蔽掉故障模块的错

  • 用FPGA实现H.264/AVC硬件编解码器的构想2022-02-15 06:00:08

    用FPGA实现H.264/AVC硬件编解码器的构想

  • 什么是FPGA?2022-02-11 01:31:10

    FPGA全称:Field Programmable Gate Array;现场可编程门阵列: 官方说法:FPGA是一种可以重构电路的芯片,是一种硬件可重构的体系结构。通过编程,用户可以随时改变它的应用场景,它可以模拟CPU、GPU等硬件的各种并行计算。通过与目标硬件的高速接口互联,FPGA可以完成目标硬件运行效率比较低的

  • FPGA之FIFO详解,读写位宽不同2022-02-09 22:35:14

          这篇博客里,通过两个练习来总结在FPGA设计中FIFO读写位宽不同的情况下,我们应该去如何设计时序逻辑,因为在现实工程中FIFO读写位宽不同也是经常出现的情况。 练习1          设计一个模块包含读写位宽是32bit、读写深度是64的异步时钟FIFO,其中输入数据信号din和输入

  • 基于FPGA可编程网卡的高性能采集方案2022-02-09 18:04:05

    架构困境:性能的天花板触手可及 在过去的20多年中,处理器的性能以每年大约55%速度快速提升,而内存性能的提升速度则只有每年10%左右。内存瓶颈导致高性能处理器难以发挥出应有的功效,这对日益增长的高性能计算形成了极大的制约。这种严重阻碍处理器性能发挥的内存瓶颈称之为"内存墙"

  • 第一章&&第二章2022-02-09 15:06:46

    1、CPU芯片研发概述 1.1 处理器和处理器核 一个处理器芯片除了包含处理器核(一个或多个),还回包含高速缓存、总线接口等一系列其他功能模块。 处理器核是处理器芯片中真正执行指令、进行运算和控制的核心。 1.2 芯片产品的研制过程 芯片定义 芯片设计:硅片设计与封装设计 芯片制造:掩

  • FPGA学习总结6:Local BUS之简介2022-02-07 18:05:37

    1.1 前言 Local Bus总线又称为CPU总线,根据高低位地址线序的差异,又可分为Motorola CPU总线和Intel CPU总线。古老的CS51单片机就是Intel CPU总线的典型代表,而我们常用的Power PC就是Motorola CPU总线架构,它是从60X总线衍变过来的(60X总线支持64、32、16、8四种可选位宽模式),由于L

  • FPGA奇数分频的实现2022-02-07 13:30:00

    在FPGA开发中,我们往往需要对时钟进行分频,得到满足我们需求的时钟频率。尽管这些分频的工作完全可以由PLL等ip核完成,但通过verilog语言同样也可以实现。在本博客中,我们仅讨论整数倍分频。 偶数倍分频 偶数倍分频的实现较为简单,一般是设置一个计数器,从0开始计数,达到N-1后又重新

  • 第一篇 FPGA基础知识2022-02-06 14:30:52

    文章目录 第一章 FPGA简介第1节 什么是FPGA第2节 FPGA的结构第3节 更为复杂的FPGA架构第4节 带嵌入式处理器的FPGA第5节 数据存储以及配置分方式 第一章 FPGA简介 第1节 什么是FPGA FPGA的全称为Field-ProgrammableGateArray,即现场可编程门阵列。 在开始学习FPGA之前,同

  • FPGA 视频拼接器 动态欢迎词的实现2022-02-05 12:03:21

    先看一下架构图: 上位机依次把欢迎词图片通过TCP/IP传给控制卡,控制卡先把 欢迎词图片存进Flash里面,然后从Flash把欢迎词图片读出来存进控制卡的DDR里面,再通过DDR,第一时间读出来完整图片, 第二时间按照DDR位置偏移量来读出偏移的图像,这样可以生成 60Hz的动态视频源。最后通过ser

  • fpga程序固化2022-02-05 09:33:32

    文章目录 前言一、FPGA配置文件二、sof转jic二、运行效果总结 前言   本期课程主要介绍FPGA主要的设计配置文件类型,以及演示sof文件转化为jic文件的步骤,将我们编写好的程序固化至FPGA芯片。 一、FPGA配置文件   在FPGA中一般常用的三种文件类型sof、pof、jic进行设

  • 穷玩车、富玩表、SB玩电脑2022-02-04 13:33:38

    这话不是我说的,我也不赞成该观点,只是有感于网上有些人说“我就爱玩游戏”。 我觉得:花1万买电脑不如花4000买电脑,1000买轮滑装备之类,5000存起来,过3~5年再买个电脑。电脑降价太快了。车和表么,入手马上卖出去,99.9999成新也算二手货,要亏不少。 不知道玩啥好的话,我觉得可以参考这里:玩主

  • FPGA 学习笔记一: 检测边沿触发2022-01-28 11:35:02

      本文主要涉及Xilinx版的FPGA的边沿触发检测,通过锁存一个时钟节拍, 比较前后两个检测状态, 判定是否产生上升沿或者下降沿,可用于按键检测等,具体如下:   检测上升沿:   reg KEY_SCAN;                  reg KEY_SCAN_REG;        always@(posedge CLK)       

  • 从零开始学习使用FPGA控制ADF4351芯片2022-01-27 13:59:45

    作为纪录学习过程使用 1 准备事项 软件部分 ise14.7主要是完成对FPGA开发板的程序编写和引脚绑定,modelsim则是对verilog程序的spi通信过程进行仿真,判断程序是否可以有效执行,ADF435X则是一款针对ADF4351芯片的仿真软件,通过设置内部寄存器数值,根据输入来判断输出的理论数值。A

  • FPGA之边沿检测设计(上升沿,下降沿,双边沿)2022-01-26 17:58:58

    记录FPGA的小知识点,不断积累自己的技能,想要工作顺利,就得成为大牛。 顺便记录一个边沿检测的口诀,方便记忆,我自己瞎编的^-^。 后反前正是上升,后正前反是下降 ; 就是两个寄存器的前后顺序不一样。 边沿检测的方法都很不相同,是最基础的一部分,可以用打两拍实现,也可以用移位寄存器实现,

  • SocKit系列文章——SOCKit下载程序时JTAG链的问题2022-01-25 19:03:27

    具体详细步骤参考官方说明文档my_first_fpga。在此记录下载时遇到的坑。 下载程序 SoCKit下载程序 也就是说,作为一个SOC平台,必须要 先FPGA,再HPS,这样下载才可以下载进去。而且顺序必须如此。 但是原因是什么呢 ,只能这样才能下载吗??? 不是的,其实在官方文档SOCKit_User _Manual中

  • EDA实验(Quartus Ⅱ+fpga) (二)---时序电路的设计-模可变计数器设计2022-01-23 21:01:58

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (一)实验目的 (1)进一步熟悉EDA开发板和QuartusⅡ软件的使用方法。 (2)学习静态数码管的使用和7段数码显示译码器设计; (3)掌握时钟在时序电路中的作

  • FPGA之道(35)Verilog中的并行与串行语句2022-01-20 10:36:51

    文章目录 前言 Verilog的并行语句 Verilog连续赋值语句 普通连续赋值语句 条件连续赋值语句 Verilog程序块语句 沿事件 纯组合always 纯时序always 具有同步复位的always 具有异步复位的always 具有混合复位的always Verilog实例化语句 单独实例化 数组实例化 实例

  • wdf driver2022-01-20 03:31:38

    pcie 与fpga通信 FPGA实现 PCIE 端点设备,我该如何实现这样一个功能,PC发送一条消息(比如一个存储器写事务),然后FPGA用户逻辑获得这个事务包里的内容进行相关操作,比如把一个LED点亮。已知在设计例程中,FPGA实现一个端点存储器(endpoint memory), pc向BAR0里写数据时就会写入这个端点存储器

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有