ICode9

精准搜索请尝试: 精确搜索
  • ADRV9009的JESD204接口传输2022-01-19 10:00:16

      Framer : 对应数据接收端,处理模拟信号经过ADC转化后的数字信号,将其打包为jesd204b 协议的格式,通过高速串行总线( SERDOUT )发送到FPGA,FPGA 通过 SYNCIN (rx_sync)给ADRV9009 提供同步反馈信号。 Dframer : 对应数据发射端,处理来自FPGA的数据通过高速串行总线发送的打包为jesd204b

  • FPGA知识积累【2】2022-01-18 12:58:42

    目录 1.时序约束2.多bit信号异步处理3.FPGA与CPLD4.锁存器和触发器的区别5.FPGA芯片内的两种存储资源6.时钟抖动7.时钟的使用8.时序电路的延时 1.时序约束 ①时序是什么? 在了解时序约束之前,我们必须要知道时序是什么?时序时序,顾名思义就是时间的先后顺序,芯片内是电路在工

  • RFNoC 4.0 架构简介2022-01-13 21:32:25

    1 什么是RFNoC RFNoC是一个基于FPGA的一个异构软件无线电框架,可以使用该架构,对USRP系列的SDR设备进行FPGA级别的自定义。也就是说,使用RFNoC可以在GNURadio提供的SDR框架之外,使用剩余的FPGA的资源实现更高性能,更高自由度的软件无线电设备的定义。 在UHD 4.0版本之后,RFNoC已经成为了

  • VISIO使用FPGA时序图、状态机等所需的模具2022-01-13 18:00:56

    前言 项目中经常需要进行时序图、状态机跳转、流程图等的绘制,使用visio绘制相对美观。     但visio中并没有现成的模具,所以手动绘制了一些,一劳永逸,避免重复劳动。 流程 Q:模具是什么? 子图形的集合,方便下次使用。 Q:如何绘制模具? Q:如何使用模具 ? 模具共享 v1.0版本有下述编辑

  • FPGA学习(2)-按键消抖2022-01-12 23:00:40

    原理 对于机器开关,有抖动,在一般单片机设置时,直接检测后,如果监测到按键,然后延时,在检测,这是利用了单片机的系统结构,顺序执行。 而对于FPGA,思路:(假设按键按下表示低电平),高电平时,计数值一直为0,当检测到低电平,开始计数,在此期间,如果有任何高点平,重新计数,所以在低电平稳定时,计数开始直到

  • FPGA之VGA转HDMI之并行串行转换模块编写2022-01-12 22:02:02

            上节我们使用编码模块可解决图像数据的编码问题,而这次使用的并行转串行模块的主要功能就是实现并行串行转换、单端信号转差分信号、单沿采样转双沿采样。         并行转串行模块框图如下: 图1 串行转并行结构框图         图2 

  • FPGA数字时钟22022-01-12 18:06:17

    一.数字时钟设计2 本代码借鉴了一些,网上资源。 1.硬件资源:共阴极数码管一块,FPGA开发板一块(EP2C8Q208C8); 2.开发板资源:3颗独立按键,数码管接口; 3 功能设计:三种功能:a.时钟功能;b.校时功能;整点报时。 4.按键功能设计:按键调整数字时钟分钟显示; A代码 module top( input sys_clk, inpu

  • 基于FPGA的LMK04821时钟芯片设计2022-01-12 09:33:50

    LMK04821功能介绍         LMK0482X系列是德州仪器推出的高性能时钟调节芯片系列,该芯片目前有三种,分别为LMK04821、LMK04826以及LMK04828,这款芯片都支持最新的JESD204B协议。本次调试主要以LMK04821为例,对调试过程中出现的一些问题进行总结说明。         其性

  • 直播视频回放 | FPGA中定点数和浮点数2022-01-11 18:30:16

    直播视频 | FPGA中定点数和浮点数   昨天临时发了个直播通知,FPGA公开直播课临时通知! 晚上7:00直播,探讨FPGA中定点数和浮点数相关知识点。 有近2000人全程跟下来了,发言提问也比较积极,后续还会推出此类型的直播公开课,和大家继续讨论FPGA相关的知识疑难点和实际开发中遇到的问

  • FPGA之DDS信号发生器(个人学习参考)2022-01-10 23:01:32

    DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,是一项关键的数字化技术   DDS的基本结构主要由相位累加器、相位调制器、波形数据表ROM、D/A转换器等四大结构组成,其中较多设计还会在数模转换器之后增加一个低通滤波器。DDS结构示意图,具体见图 33-1   图 33-1

  • FPGA信号处理系列文章——Xilinx FIR IP的matlab模型的使用2022-01-09 22:34:05

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA信号处理系列文章——Xilinx FIR IP的matlab模型的使用 前言FIR IP C/MATLAB模型的说明FIR ip MATLAB模型的简要说明示例1:(Create default filter IP核默认设置)示例2:(Create 2 channel upsampling filter 双

  • (92)低速接口UART、IIC、SPI介绍,面试必问(十六)(第19天)2022-01-09 21:00:47

    (92)低速接口UART、IIC、SPI介绍,面试必问(十六)(第19天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)低速接口UART、IIC、SPI介绍,面试必问(十六)(第19天) 5)技术交流 6)参考资料 2 FPGA初级课程介绍 1)FPGA初级就业课程共100篇文章,目的是为了让想学FPGA的小伙伴快速入门。 2

  • 【FPGA】rapid io的使用2022-01-09 19:58:51

    参考资料: 【高速接口-RapidIO】1、RapidIO协议概述【高速接口-RapidIO】2、RapidIO串行物理层的包与控制符号 【高速接口-RapidIO】3、RapidIO串行物理层的包传输过程 【高速接口-RapidIO】4、Xilinx RapidIO核详解 【高速接口-RapidIO】5、Xilinx RapidIO核例子工程源码分析

  • 软件课程设计问答2022-01-08 10:02:15

    不允许拷贝粘贴(违者直接重修),用自己的理解组织语言 (1)举例说明一些设计模式,并给出伪代码(至少2个) 常见的设计模式有: 适配器模式:适配器模式负责不同类之间的转换,使转换之后的类能够满足指定要求,它可以作为不同接口之间起着适配的功能。 伪代码: //从class one 适配到class two I

  • (84)JTAG接口与格雷码特点-面试必问(七)(第17天)2022-01-07 11:30:28

    (84)JTAG接口与格雷码特点-面试必问(七)(第17天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)JTAG接口与格雷码特点-面试必问(七)(第17天) 5)技术交流 6)参考资料 2 FPGA初级课程介绍 1)FPGA初级就业课程共100篇文章,目的是为了让想学FPGA的小伙伴快速入门。 2)FPGA初级就业课

  • 【正点原子FPGA连载】 第二十二章 HDMI方块移动实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.02022-01-06 16:07:07

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-301505-1-1.html 4)对正点原子FPGA感兴趣的同学可以加群讨论:994244016 5)关注正点原子公众号,获取最新资料

  • (55)FPGA基本约束-UCF与XDC(第11天)2022-01-05 12:04:51

    (55)FPGA基本约束-UCF与XDC(第11天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA基本约束-UCF与XDC(第11天) 5)技术交流 6)参考资料 2 FPGA初级课程介绍 1)FPGA初级就业课程共100篇文章,目的是为了让想学FPGA的小伙伴快速入门。 2)FPGA初级就业课程包括FPGA简介、Veri

  • 【正点原子FPGA连载】第十四章 IP核之RAM实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.02022-01-04 13:01:43

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-301505-1-1.html 4)对正点原子FPGA感兴趣的同学可以加群讨论:994244016 5)关注正点原子公众号,获取最新资料

  • 基于FPGA的数字抢答器VHDL开发2022-01-03 17:34:10

    Control: Div: QiangDa: 附:http://www.jh-tec.cn/archives/7192

  • 基于FPGA的自动小车控制设计Verilog开发2022-01-03 17:33:49

    Control: XiaoChe: 附:http://www.jh-tec.cn/archives/7176

  • FPGA实现串口功能2022-01-03 13:32:18

    `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 15:18:50 01/01/2022 // Design Name: // Module Name: uart // Project Name: // Target Devices:

  • 简单聊一聊FPGA的应用领域和提高方法吧2022-01-02 12:05:34

           开始撰写FPGA技术博客,那么就先简单地写一写FPGA我们能用它做什么,目前FPGA的应用领域主要在哪里,学会了FPGA可以去从事哪些方面的工作等等。FPGA所能应用的领域可以大概分为以下六大类,一些其他领域也可以看成是在此基础上的延伸和扩展。 一、通信领域         FPGA

  • (27)FPGA译码器设计(第6天)2022-01-02 09:08:10

    (27)FPGA译码器设计(第6天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA译码器设计(第6天) 5)技术交流 6)参考资料 2 FPGA初级课程介绍 1)FPGA初级就业课程共100篇文章,目的是为了让想学FPGA的小伙伴快速入门。 2)FPGA初级就业课程包括FPGA简介、Verilog HDL基本语法

  • (28)状态机概述(第6天)2022-01-02 09:07:49

    (28)状态机概述(第6天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)状态机概述(第6天) 5)技术交流 6)参考资料 2 FPGA初级课程介绍 1)FPGA初级就业课程共100篇文章,目的是为了让想学FPGA的小伙伴快速入门。 2)FPGA初级就业课程包括FPGA简介、Verilog HDL基本语法、Verilog

  • (29)FPGA摩尔型状态机设计(第6天)2022-01-02 09:07:30

    (29)FPGA摩尔型状态机设计(第6天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA摩尔型状态机设计(第6天) 5)技术交流 6)参考资料 2 FPGA初级课程介绍 1)FPGA初级就业课程共100篇文章,目的是为了让想学FPGA的小伙伴快速入门。 2)FPGA初级就业课程包括FPGA简介、Verilog

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有