ICode9

精准搜索请尝试: 精确搜索
  • G2--FPGA_IIC通信-2021.10.312021-10-31 16:02:12

    FPGA IIC接口通信 本文介绍IIC通信协议以及代码开发的注意事项,跑通了IIC协议,那么后续将会根据此代码的基础上进行IIC的读写应用,例如读写EEPROM。到时将会上传代码。 1.IIC简介 1.1 IIC特性 IIC即 Inter-Integrated Circuit(集成电路总线),是由 Philips 半导体公司在八十年代初

  • 全文翻译(四) TVM An Automated End-to-End Optimizing Compiler2021-10-29 06:00:07

    全文翻译(四) TVM An Automated End-to-End Optimizing Compiler 6.3 嵌入式GPU评估 对于移动GPU实验,在配备ARM Mali-T860MP4 GPU的Firefly-RK3399板上,运行端到端管道。基线是供应商提供的库,即ARM计算库(v18.03)。      Figure 19: End-to-end experiment results on Mali-T860MP4.

  • FPGA2021-10-28 23:34:21

    Xilinx 低:Spartan 中:Artix 中:Kintex 高:Virtex 开发板: ZYNQ 仿真工具:ISE 14.7 --> vivado Altera->Intel CPLD:MAX 低:Cyclone 中:Arria 高:Stratix 仿真工具:Quartus II

  • FPGA基础知识二(组合逻辑与时序逻辑)2021-10-25 16:00:32

    我们在上节知识点中,发现时序仿真的波形,除了有信号的延时出现之外,还出现了一个很小的上升沿(毛刺)出现,这个是因为我们采用组合逻辑导致的,时钟端口、清零和置位端口对毛刺信号十分敏感,任何一点毛刺都可能会使系统出错,因此判断逻辑电路中是否存在冒险以及如何避免冒险是设计人员必须

  • FPGA+海思Hi3559视频显示记录板解决方案2021-10-25 13:01:40

    视频显示记录板安装在显控终端中,在桌面Linux 操作系统中运行上层应用软件,完成5 路高清SDI 视频信号采集、显示、压缩存储、解压缩回放,图形图像融合显示,以太网通信、CAN 通信、USB、串口通信等功能。 1.性能指标 1) 内存:8GB; 2) 电子盘:1TB; 3) 支持双路 1920*1080 显示输出:  1 路LV

  • FPGA实现按键切换数码管界面2021-10-24 19:01:43

    本工程实现三个数码管界面显示,采用按键二切换界面,每个界面另外两个按键有不同的功能,需要其他功能的,可根据需求更改,同时界面二带有一个故障检测功能,当在界面二利用按键一和按键三组合出1001是,系统不再显示数字,实现故障功能。话不多说,上代码。 实验工程目录 top实例化数码管

  • FPGA中一种乘加运算的资源优化写法注意事项2021-10-22 17:30:29

    FPGA中一种乘加运算的资源优化写法注意事项 最近在FPGA里面做小波变换提升算法,由于是多条流水线进行,里面会多次用到乘加的运算结构,最后导致工程占用资源刚刚不够用(105%),很是苦闷,在此记录一下资源优化的一种案例(quartus ii 17.1)。 这是原始算法中的一次分解步骤(中间拎出来的,可

  • FPGA自学8——IIC总线操作EEPROM2021-10-22 11:58:43

    1、IIC总线简介         IIC是集成电路总线,是一种两线式的串行总线,由SDA数据线、SCL时钟线构成的半双工通信方式。        标准模式:100kbit / s       快速模式:400kbit / s       高速模式:3.4Mbit / s  1.1 IIC 总线的时序         IIC设备:闲置---->开始信

  • (45)System Verilog 类中变量随机激励约束语法2021-10-22 00:01:39

    (45)System Verilog 类中变量随机激励约束语法 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 类中变量随机激励约束语法 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领

  • (44)System Verilog 类中变量随机激励约束2021-10-22 00:01:19

    (44)System Verilog 类中变量随机激励约束 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 类中变量随机激励约束 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一

  • (43)System Verilog 类中变量随机化2021-10-22 00:01:08

    (43)System Verilog 类中变量随机化 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 类中变量随机化 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路

  • (42)System Verilog 例化Verilog模块2021-10-22 00:00:51

    (42)System Verilog 例化Verilog模块 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 例化Verilog模块 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电

  • (41)System Verilog 例化System Verilog模块2021-10-22 00:00:38

    (41)System Verilog 例化System Verilog模块 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 例化System Verilog模块 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中

  • (38)System Verilog类class复制详解2021-10-21 00:02:55

    (38)System Verilog类class复制详解 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog类class复制详解 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路

  • (37)System Verilog类外方法示例2021-10-21 00:02:42

    (37)System Verilog类外方法示例 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog类外方法示例 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现

  • (36)System Verilog类中方法示例2021-10-21 00:02:29

    (36)System Verilog类中方法示例 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog类中方法示例 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate Array)是在PAL、GAL等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现

  • 【正点原子FPGA连载】第二章 实验平台简介 -摘自【正点原子】新起点之FPGA开发指南_V2.12021-10-20 15:03:06

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-300792-1-1.html 3)对正点原子FPGA感兴趣的同学可以加群讨论:994244016 4)关注正点原子公众号,获取最新资料更

  • xilinx7系列FPGA片上资源说明。。。持续更新2021-10-18 12:31:07

    FDCE:Primitive: D Flip-Flop with Clock Enable and AsynchronousClear,具有异步复位和时钟使能功能的D触发器。 异步复位:它是指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位。    verilog实例化模板: 1 FDCE #( 2 .INIT(1'b0) // Initial value of register (1'b0 or 1

  • FPGA 小白学习笔记2021-10-15 12:33:29

    这是除了野火教程之外自己额外打的代码,目的是加深对FPGA、verilog的理解(x)整活(√) 目标:1、打代码            2、画一块FPGA最小系统板 开发板:野火征途mini(ALTERA Cyclone IV ) 一、点亮一个LED灯 module LED1 (LED1,LED2,LED3,LED4); output LED1,LED2,LED3,LED4; assign

  • altera FPGA学习笔记2021-10-12 23:01:03

    文章目录 一、环境配置1、器件库(1)、[Quartus15器件库下载地址:http://www.ithinktech.cn/index.php?c=content&a=show&id=804](http://www.ithinktech.cn/index.php?c=content&a=show&id=804) 一、语法1、阻塞赋值与非阻塞赋值(1)、阻塞赋值(2)、非阻塞赋值 一、环境配置 1

  • FPGA设计——inout端口2021-10-11 22:31:38

       最近在把zedboard的项目工程搬到性能更好的器件上,除了改zynq核和相应管教外,还需要改几个inout端口和差分LVDS端口。本篇便对inout端口做一个小结。 FPGA设计中,大家常用的一般时input和output端口,且在vivado中默认为wire型。而inout端口,正如其名,即可以做输入,也可以做输出端口

  • 彻底拿下FPGA时序约束-1.时序干货总览2021-10-09 12:33:12

    1.时序是FPGA工作的基础,好比人的心脏。   2.网上的的时序教程有一个原则就是,把你看懵逼为止,现状就是80%的FPGA工程师被问时序就是一脸懵逼。说不懂吧,懂点;说懂吧,人家一问怎么约束,又懵逼。   3.时序约束本质而言是利用时序约束语言对你自己的设计做解释,解释告诉编译器你的时序

  • FPGA神经网络部署 Zynq Ultrascale Yolov3-tiny VGG162021-10-06 11:02:15

    工程描述:该工程实现了一个通用的卷积神经网络加速器,成功搭载Yolov3tiny。配合摄像头采集+显示器回显环路,构建了一个高性能实时目标识别与检测系统。验证平台:Xilinx Zynq Ultrascale系列xzcu3eg芯片,Digilent官方Genesys ZU3EG板卡基本外设:Digilent PCAM 5C MIPI摄像头,Ultrascale标

  • 基于 FPGA 的高级数字电路设计(9)数学形态学设计2021-10-04 11:34:26

    Morphology(形态学) 在数学形态学中,闭运算被定义为先膨胀后腐蚀,反之,开运算被定义为先腐蚀后膨胀。膨胀与腐蚀(Dilate and Erode)操作被称为形态学操作。(注意,这里是先闭后开,即膨胀腐蚀腐蚀膨胀,输入大小为 640*480,窗口为 21*21)。 1、膨胀   会扩大一幅图像中的组成部分(扩大白色区域,

  • FPGA Base Xilinx AMBA AXI Protocol Checker小试2021-10-04 00:00:52

    AMBA中AXI总线目前已经广泛的在FPGA中使用 Xilinx为用户提供了很多关于AXI接口相关的IP,今天这里的主角就是Xilinx的《AXI Protocol Checker》 用户在编写玩AXI4接口的模块后,可以使用该IP对读写功能进行验证 然后在PC_Status端口检查错误异常标志位,看接口是否满足标准的AXI3、A

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有