ICode9

精准搜索请尝试: 精确搜索
  • 阶段2-计数器练习152022-02-19 23:32:30

       还是需两个计数器,一个变量Z,计数器加1条件改为 dout !=0,这样可以省点资源 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5 // en2, 6 // en3, 7 dout 8 ); 9 10 i

  • 阶段二-计数器练习132022-02-19 22:03:06

       需用到两个计数器,cnt0计数器一轮的结束, cnt1计数循环3轮 需要三个变量x,y,z ,x: 是cnt0计数器的结束条件 , y 是 dout变为0的条件, z 是dout要赋的值 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5

  • 计数器练习112022-02-09 23:00:43

    练习题目: 当收到en信号之后, a,隔1个时钟周期,dout输出4个高电平,然后 b,隔1个时钟周期,dout输出3个高电平,然后 c,隔1个时钟周期,dout输出2个高电平,然后 d,隔1个时钟周期,dout输出1个高电平,然后 第一关键点,隔1隔时钟,dout输出 x 个高电平,需一个计数器cnt0,引入了一个“x”变量,因为是在不同时

  • FPGA奇数分频的实现2022-02-07 13:30:00

    在FPGA开发中,我们往往需要对时钟进行分频,得到满足我们需求的时钟频率。尽管这些分频的工作完全可以由PLL等ip核完成,但通过verilog语言同样也可以实现。在本博客中,我们仅讨论整数倍分频。 偶数倍分频 偶数倍分频的实现较为简单,一般是设置一个计数器,从0开始计数,达到N-1后又重新

  • (DDS)正弦波形发生器——幅值、频率、相位可调(一)2022-02-06 12:01:04

    (DDS)正弦波形发生器——幅值、频率、相位可调 一、项目任务: 设计一个幅值、频率、相位均可调的正弦波发生器。 频率每次增加1kHz。 相位每次增加 2*PI/256 幅值每次增加两倍 二、文章内容: DDS的核心原理。 分别使用两种方式完成频率可调(a、b),并且进行对比(c),最后对b进行优化(d)

  • 【芯片前端】关于门控电路和逻辑做在D端还是EN端的思考2022-02-04 16:03:46

    最近在看《硬件架构的艺术——数字电路的设计方法与技术》,学习细化了很多之前模棱两可的知识点,偶尔来总结输出一下,今天就是这个主题——门控电路; 门控结构 相传在很多年前,时序逻辑里是没有门控电路的; 这句话我是听说的,估计我是没赶上那个时候,那时候最流行的触发器综合方式可能还

  • 数字电路:边沿触发的D触发器简析2022-02-03 12:33:55

      D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。因此,D触发器在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到

  • 浅析OSERDESE32022-02-02 17:00:37

    原文链接:浅析OSERDESE3 在高速接口的应用场景下,我们会经常听说SerDes(Serializer-Deserializer)这个词,也就是串行器和解串器,更为通俗的讲就是进行串并转换的。在Xilinx的FPGA中提供了ISERDES(提供串行数据到并行数据的转换)和OSERDES(提供并行数据到串行数据的转换)。在7系列的FP

  • linux时钟子系统-clk_register2022-02-01 15:30:35

    前言 分析下 clk_register 的流程。 重要的结构体 分析一个函数的流程之前,必须要搞清楚结构体的定义,才能知道其背后的用途。 clk_hw struct clk_hw { struct clk *clk; const struct clk_init_data *init; }; 其中的 clk结构体,用来表示 clk之前的关系(parent,children),以及当

  • 杭电数字电路课程设计——出租车计费器2022-02-01 12:00:29

    杭电数字电路课程设计——出租车计费器 实验目的 (1)学习数码管动态扫描方法,进一步熟悉模块调用的方法,锻炼编程设计数字系统的能力。 (2)掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法。 模块设计 (1)分频模块:因出租车计费器模拟以秒为单位,即分频1秒产生一个clk_out,

  • verilog 序列检测器 状态机 寄存器写法2022-01-30 21:58:24

    状态机写法 能够检测重叠部分 // 2022-1-30 verilog学习 // 检测序列1011 状态机写法 module seq_detect( clk, res, en, din, match ); input en,din,res,clk; output match; reg[2:0] state; reg match; always@(posedge clk or negedge res) i

  • 【数字钟实验2.0】Verilog/SystemVerilog2022-01-30 11:35:31

    【数字钟实验2.0】这次是用systemverilog/verilog来完成数字钟实验1.0中的数字钟功能(还增加了日期功能!),其实感觉比画电路简单哈哈哈哈:) 嘿嘿目录 实验设置分模块代码60计数器24计数器日期计数器(day)设置时间时钟分频动态显示扫描模块顶层模块 几个问题1. 日期功能2. 如

  • 工程师讲解 | T507实现SPI转CAN 全过程2022-01-28 13:33:27

    作者|牛志超 来源 | 飞凌嵌入式 题图|飞凌嵌入式 FETT507-C核心板 全志T507处理器本身不支持CAN功能,那有什么方法可以实现CAN功能呢? 我们已知FETT507-C核心板是支持SPI接口的,但底板没有引出该接口,所以小编打算通过引脚复用方式,复用出SPI接口并做成SPI转CAN,来实现CAN功能。

  • verilog RTL(4)2022-01-24 13:04:31

    参数化设计 /************************************************************/ Latch锁存器(利用电平触发) /************************************************************/ Flip-Flops(利用边沿触发) D触发器 异步复位: always@(posedge clk or negedge reset) if(!reset

  • 【无标题】2022-01-23 20:58:18

    状态机:贩卖机 1.简单情况   module ztj_fmj( clk, rst, money_in, kele ); parameter IDLE=2'b00; parameter ONE=2'b01; parameter TWO=2'b10; input clk; input rst; input money_in; output kele; reg[1:0] state; reg kele; always@(posedge c

  • FPGA学习(2)-按键消抖2022-01-12 23:00:40

    原理 对于机器开关,有抖动,在一般单片机设置时,直接检测后,如果监测到按键,然后延时,在检测,这是利用了单片机的系统结构,顺序执行。 而对于FPGA,思路:(假设按键按下表示低电平),高电平时,计数值一直为0,当检测到低电平,开始计数,在此期间,如果有任何高点平,重新计数,所以在低电平稳定时,计数开始直到

  • FPGA数字时钟22022-01-12 18:06:17

    一.数字时钟设计2 本代码借鉴了一些,网上资源。 1.硬件资源:共阴极数码管一块,FPGA开发板一块(EP2C8Q208C8); 2.开发板资源:3颗独立按键,数码管接口; 3 功能设计:三种功能:a.时钟功能;b.校时功能;整点报时。 4.按键功能设计:按键调整数字时钟分钟显示; A代码 module top( input sys_clk, inpu

  • 基于FPGA的LMK04821时钟芯片设计2022-01-12 09:33:50

    LMK04821功能介绍         LMK0482X系列是德州仪器推出的高性能时钟调节芯片系列,该芯片目前有三种,分别为LMK04821、LMK04826以及LMK04828,这款芯片都支持最新的JESD204B协议。本次调试主要以LMK04821为例,对调试过程中出现的一些问题进行总结说明。         其性

  • DDR3 MIG IP核仿真与学习2022-01-03 20:03:43

    MIG IP核介绍 在Xilinx系列的FPGA中,为了方便用户对DDR进行读写,官方提供了用于访问DDR的IP核MIG,全称为Memory Interface Generator,具体可参考赛灵思官方文档参考手册:ug586(7 Series Devices Memory Interface Solutions v4.1)。下图是MIG IP核的架构,从图中可以看出,MIG主要有面

  • FPGA实现串口功能2022-01-03 13:32:18

    `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 15:18:50 01/01/2022 // Design Name: // Module Name: uart // Project Name: // Target Devices:

  • 36行Verilog写了个电脑:-)2021-12-25 02:05:58

    module cpu(input[31:0] clk, input[55:0] rom); reg[7:0] inst; // instruction reg[1:0] op; // op code reg ri; // register index reg[4:0] immd; // immediate number reg[4:0] rf[2]; // register file wire s; //

  • vivado implementation执行时候报错:Unsupported PLLE2_ADV connectivity.......2021-12-20 11:34:28

    执行时候出错, [DRC REQP-1712] Input clock driver: Unsupported PLLE2_ADV connectivity. The signal u_clk_wiz_0/inst/clk_in1 on the u_clk_wiz_0/inst/plle2_adv_inst/CLKIN1 pin of u_clk_wiz_0/inst/plle2_adv_inst with COMPENSATION mode ZHOLD must be driven by a cloc

  • 【无标题】2021-12-19 23:01:01

    HDLBITS Conwaylife module top_module( input clk, input load, input [255:0] data, output [255:0] q ); reg [15:0] q_next[15:0]; reg [15:0] q_c[15:0]; int m,n; always @(posedge clk) begin if(load) begin for (m=0;m<=15;m++)

  • Verilog学习之路(4)— Verilog HDL的程序设计语句2021-12-18 15:30:00

    Verilog HDL的程序设计语句 一、连续赋值语句 连续赋值语句通常用来描述组合逻辑电路,连续赋值的目标类型主要是标量线网和向量线网两种,标量线网如“wire a,b;”,向量线网如“wire [3:0] a,b”。连续赋值语句还可分为显示赋值语句和隐式连续赋值语句。 如下所示为显示连续赋值

  • VHDL中信号与变量的区别及赋值的讨论2021-12-18 11:31:23

    VHDL中信号与变量的区别及赋值的讨论(对某位大佬的补充) 一 信号与变量的区别 变量声明使用variable,赋值符号位:= 而信号声明用signal,赋值符号为<= 2、有效域不同 1、变量只能用在进程函数体,子程序内部 2、信号不能再进程中声明,信号用在结构体、实体、程序包。 敏感信号表中只

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有