ICode9

精准搜索请尝试: 精确搜索
  • VHDL VGA 时钟处理 转盘形式 源代码2021-07-18 09:34:53

    library ieee; use ieee.std_logic_1164.all; entity vga_display is port(rst_n:in std_logic ; clk :in std_logic ; right_a:in std_logic; left_a:in std_logic; up_a:in std_logic; down_a:in std_logic; xpos:in integer; ypos:in integer;

  • EDA 实验报告2021-07-16 16:02:17

    一、设计题目说明 题目九:出租车计价器设计(平台实现)★★ 完成简易出租车计价器设计,选做停车等待计价功能。 基本功能: 1)起步8元/3公里,此后2元/公里; 2)里程指示信号为每前进50米一个高电平脉冲,上升沿有效;显示行驶公里数,精确到0.1公里。 4)前进里程开始之前显示价钱,精确到0.1元; 5)用

  • SPI2021-07-13 04:01:02

    SPI(Serial Peripheral interface)是由摩托罗拉公司定义的一种串行外围设备接口,是一种高速、全双工、同步的通信总线,只需要四根信号线即可,节约引脚,同时有利于PCB的布局。正是出于这种简单易用的特性,现在越来越多的芯片集成了SPI通信协议,如FLASH、AD转换器等。   SPI的通信原理比

  • 2021_7_12数电课设2021-07-12 20:02:07

    目 录 摘要 1 一系统概述 3 1.1总体原理框图 3 1.2总体工作流程 3 1.2.1部分名词解释 3 1.2.2数字部分 3 1.2.3模拟部分 3 二单元电路的设计与分析 4 2.1顶层参数设计 4 2.2多分频器模块设计 4 2.3状态控制计数器设计 7 2.4变模式自循环ROM设计 9 2.4.1说明 9 2.4.2数据生成 10 2

  • 常见计数器2021-07-11 16:35:04

    这篇博客来介绍一些常见的计数器。话不多少,直接上代码。 第一种: rtl可综合电路 //************************************************************************** // *** 名称 : count.v // *** 作者 : 南明离火hk // *** 博客 : https://www.cnblogs.com/jing-shui-liu-shen/ //

  • 杭电计算机组成原理实验RISC-V 实验 取指令及指令译码实验2021-07-10 16:31:00

    这里写目录标题 一、实验目的与要求1、 实验目的:a) 掌握指令存储器、PC与IR的设计方法b) 掌握CPU取指令操作与指令译码的方法和过程,掌握指令译码器的设计方法c) 理解RISC-V立即数的生成与扩展方法,掌握立即数拼接与扩展器的设计 2、 实验要求: 二、实验设计与程序代码1、 模

  • 边沿检测原理2021-07-08 20:02:10

    目录 一、边沿检测原理 1、边检测原理(同步) 2、边检测原理(异步) 二、同步Verilog实现 一、边沿检测原理1、边检测原理(同步) 上升沿就是从0到1变化的过程,而同步边沿检测就是使用一个基准时钟,来检测另外一个信号的上升沿。这种检测方法适用于被检测信号的最大频率小于基准时钟的频率

  • 2021-07-062021-07-06 12:00:06

    ANSHUN UNIVERSITY 数 字 逻 辑 电 路 实 验 论 文 (2021~2021年) 题 目: 有限状态机的设计实验 院 别: 数学与计算机科学学院 专业班级: 2020级计算机(1)班 学生姓名: 曹端庆 学号: 202003024011 学生姓名: 黄毓 学号: 202003024036 指导教师: 阳瑞新 职称: 教师 起

  • 2021-07-052021-07-05 20:32:20

    篮球24秒计时 1.设计思想与过程 module digital(TimerH,TimerL,over,Reset,Stop,clk); output [6:0]TimerH; output [6:0]TimerL; output over; input Reset; input Stop; input clk; wire [1:0]H; wire [3:0]L; wire clk_1; fenpin UO (.clk(clk),.clk_old(clk_1)); basketb

  • HEU大二数电时序逻辑电路设计实验2021-07-02 23:30:24

    Contents 1 实验目的 2 实验仪器 3 子任务 3.1 消抖电路 实验原理实验步骤具体实现问题解决 3.2 简易篮球比赛计分器 实验原理实验步骤具体实现问题解决 4 结论心得 1 实验目的 掌握时序逻辑电路的一般设计方法。掌握消抖电路的设计方法。通过

  • 2021-06-282021-06-28 11:04:18

    1.实验目的: 下载Quartur ii软件和modlsim并进行联合仿真。 2.实验内容: 参照哔哩哔哩中教程的代码,然后用quartus ii和modlsim进行联合仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成联合仿真操作。 4实验工具: pc机和Quartur ii软件和modlsim软件。 5.实验截图: 6.实验视

  • FPGA--简易DDS信号发生器 (内涵DDS与CORDIC IP核详解)附源码2021-06-22 15:00:54

    学习内容 简易DDS信号发生器的设计与验证,产生所需的正弦波、方波锯齿波,并进行verilog验证。 实现功能 可以通过按键控制来输出不同的波形。 开发环境 xilinx spartan6开发板、ISE14.7、modelsim10.5、verilog DDS相关知识 DDS 是直接数字式频率合成器(Direct Digital Synthesi

  • FPGA时序约束理论之Tcl命令的对象和属性(3)2021-06-19 13:29:27

    get_pins 、get_ports 、get_cells、get_clocks、get_nets  get_clocks的对象是通过create_clocks或者create_generated_clocks创建的时钟,不在硬件上直接映射 1. port       通过Tcl脚本查看port的属性,有一个port是 clk_pin_p,采用如下脚本: set inst [get_ports clk_pin_p]

  • UART与SIM卡通信电路设计2021-06-17 21:03:55

      本文介绍在没有专用的SIM卡接口的情况下,使用UART与SIM卡进行通信的电路设计。 参考连接一:https://blog.csdn.net/weixin_42151788/article/details/108389068 参考连接二:https://www.cnblogs.com/utank/p/5463269.html   电路如下 SIM卡座引脚,一般有如下信号 1. C1---VCC电

  • DDS与DA2021-06-16 22:32:18

    信号发生器 信号发生器是一种能提供各种频率、输出电平的电信号的设备,又称信号源或振荡器。其在各种 电信系统的振幅、频率、传输特性等电参数以及元器件的特性与参数的测量中得到了广泛的应用。 直接数字式频率合成器 ( Direct Digital Synthesizer,DDS)是一项关键的数字化

  • 数电单人实验第六次2021-06-11 14:34:50

    本次为大家带来三个实验,十分有重要意义的实验。 第一部分:实验代码 div2的文件讲述的是移位除法器 module div2(clk, reset, start, A, B, D, R, ok, err); parameter n = 32; parameter m = 16; input clk, reset, start; input [n-1:0] A, B; output [n+

  • 什么是IR/EM2021-06-05 11:33:15

    什么是IR/EM IR压降(IR-Drop) IR压降是指出现在集成电路中电源和地网络上电压下降或升高的一种现象。随着半导体工艺的演进金属互连线的宽度越来越窄,导致它的电阻值上升,所以在整个芯片范围内将存在一定的IR压降。IR压降的大小决定于从电源PAD到所计算的逻辑门单元之间的等

  • 5月28俩个实验2021-06-03 23:58:41

    实验步骤 1.实验目的: Modelsim工程仿真流程和主从D触发器的门级建模 2.实验工具: 电脑和Quartur ii软件和modelsim软件。 3.实验过程: 第一步:打开modelsim 第二步:新建V文件,编写代码 第三步:建立work工作库,一个项目 第四步:编译,运行 第五步:出现仿真图 第六步:调整便于观察的图形 4.

  • 下降沿触发2021-06-03 14:31:30

    always @ (posedge clk or negedge resetn) if (!resetn) r_vsync <= `SD 1'b0; else r_vsync <= `SD vsync; wire vsync_fe = (!vsync)&r_vsync; always @ (posedge clk or negedge resetn)begin if (!resetn) xxxx;

  • System Verilog MCDF(二)2021-05-30 21:05:45

    整形器的接口时序:    reg,grant是维持了两个clk的。 chid ,length在发送数据期间不可以变化。 第一个data数据必须在start上升沿的同一个clk发送。 reg,grant两者之间至少相差一个clk。 grand表示自己的内存足够存储要求数据的长度的空间。表示的数据包能够接受。 data必须连续的

  • MIPS指令的CPU实现:ALU设计2021-05-29 16:32:48

    设计CPU的第一步,设计一个简单的逻辑运算单元ALU。同时对Verilog语言也有一定要求。 一、实验内容 如图,ALU接受两个N位的输入,得到N位的输出,通过控制信号F决定运算功能。 将ALU的输出结构与七段数码管显示模块连接,使用实验配置的NEXYS4开发板。 结构如下: 编写顶层模块top连接上

  • 动手写CPU----day22021-05-13 23:59:18

    目录 一、五级流水线实现1.大体框架2.ROM3.CPU 二、MIPS架构1.MIPS2.实现ORI指令 三、仿真结果1.先编写testbench文件,比较简单2.决定输入到ROM也就是存储器的指令3.仿真结果 一、五级流水线实现 1.大体框架 大体上分为两部分,一个是我们的CPU,另一个是ROM,用来存储我们的

  • ZYNQ PL系列(二)vivado与modelsim的联合仿真2021-05-12 14:31:48

    ZYNQ PL系列(二)vivado与modelsim的联合仿真 第一章 VIVADO 的使用 文章目录 ZYNQ PL系列(二)vivado与modelsim的联合仿真前言联合仿真编辑仿真库更改modelsim.ini文件 联合仿真的使用编写tb文件设置modelsim软件仿真 前言 使用黑金的开发板,安装VIVADO 2017.4。 vivado和

  • 串口发送模块与验证2021-05-12 09:04:41

    在当今的电子系统中,需要板内、板间或下位机与上位机之间进行数据的发送与接收,需要双方共同遵循一定的通信协议来保证数据传输的正确性。常见的协议有UART(Universal Asynchronous Receiver/Transmitter,通用异步收发传输器)、IIC(继承电路总线)、SPI(串行外围总线)、USB2.0/3.0(通用串

  • 序列检测的两种实现方式:状态机与移位寄存器2021-05-06 22:02:03

    目录 背景 状态机方式实现 移位寄存器 背景 序列检查是笔试中常考的编程题 ,如果笔试中没有的话,同一家公司的面试中大概率会在手撕代码环节进行考察,总的来说,序列检测是一个不太困难却又非常重要、易考的知识点。 比较常用的序列检测实现方式是状态机,逻辑清晰,写起来方便。但也见

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有