ICode9

精准搜索请尝试: 精确搜索
  • 基于FPGA的按键计数(通过按键实现对FPGA板子的数码管显示数进行控制)2021-09-22 17:01:12

    ​1.项目要求:数码管显示范围为0~999 999,当数码管显示999 999,若此时按下加的按键,则数码管显示数清零,若数码管显示为0 ,若按下减的按键时,数码管为999 999 2.编写分频计数模块,用1KHz(系统时钟为5Mhz)作为驱动时钟。将分频出来的时钟输出给key_jitter模块 点击此处添加图片说明文字 代

  • 基于Vivado MIG IP核的DDR3控制器2021-09-20 17:01:39

    一、前言 由于DDR3的控制时序相当复杂,为了方便用户开发DDR3的读写应用程序,Xilinx官方就提供了一个MIG(Memory Interface Generator) IP核,它可以为用户生成一个DDR3控制器。该控制器结构如下: 它提供了用户接口(左侧),内部会将用户接口接收到的时序转换成DDR3所需的真正时序,并通过

  • FPGA之边沿检测电路(检测信号由高到低或者由低到高的跳变)2021-09-16 14:06:51

    1.电路原理分析      1)当系统复位时,也就是rst为0时,寄存器inst的清零端失效,即输出端Q为0,那么经过两个与门后,系统输出端posedge和negedge为0,则系统处于复位状态 2)复位结束后,假设输入信号signal在某一时刻由0变为1,因为寄存器的特性,输出端Q只能在下一个时刻发生跳变,所以此时

  • HDLBits 系列(11)——Verification: Reading Simulations && Verification: Writing Testbenches2021-09-11 13:05:36

    目录 4. Verification: Reading Simulations 4.1 Finding bugs in code 1. Mux 2. NAND 3. Mux 4. Add/sub 5. Case statement 4.2 Build a circuit from a simulation waveform     1. Combinational circuit 1 2. Combinational circuit 2 3. Combinational circuit

  • HDLbits——Exams/m2014 q4k2021-09-06 14:35:19

    //四级移位寄存器 module top_module ( input clk, input resetn, // synchronous reset input in, output reg out); reg [2:0] Q; always @(posedge clk)begin if(~resetn)begin {Q,out} <= 4'b0; end else

  • zaoyi2021-09-04 20:35:48

    1. repeat repeat(10)begin ...//执行10次 end for integer i ; initial begin for (i = 0 ; i < 8 ; i = i +1 ) begin $display(“ i = %0d”, i) ; end $finish ; end   initial begin fork begin repeat(10) begin task_A e

  • verilog阻塞赋值与非阻塞赋值2021-08-31 14:35:13

    FPGA----非阻塞赋值与阻塞赋值 1.0简介 2.0阻塞赋值&非阻塞赋值 2.1阻塞赋值 2.2非阻塞赋值 2.3区别 3.0编码准则 4.0 举例 准则1)时序电路建模时,用非阻塞赋值; 准则2)锁存器电路建模时,用非阻塞赋值; 准则3)用always块建立组合逻辑模型时,用阻塞赋值; 准则4)在同一个always块中建

  • Verilog实例数组2021-08-28 22:03:39

    编写 Verilog 代码多年,至今才无意中发现了一种奇怪的语法,估计见过的这种的写法的人,在 FPGA 开发者中不会超过 20% 吧。 直接来看代码吧。先定义了一个简单的模块,名为 mod。 module mod( input clk, input din, o

  • 基于FPGA的音乐蜂鸣器设计与实现2021-08-25 20:01:06

     1 设计要求        以蜂鸣器演奏《世上只有妈妈好》的片段为例,用FPGA设计一个乐曲演奏系统。 2 设计原理 2.1 蜂鸣器的结构原理                                                 蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用

  • 在Vivado中配置FIFO-IP核2021-08-24 21:34:41

    文章目录 一、FIFO是啥??二、同步FIFO-IP核的配置和验证三、使用1.实例化FIFO2.仿真验证 一、FIFO是啥?? FIFO本质上还是RAM,可读可写,只不过是先写先读,核、就像数据结构里面的队列。其主要在多比特做跨时钟域处理、前后带宽不同步等情况下使用根据时钟可分为同步FIFO和异步F

  • ECDSA—模乘模块2021-08-23 19:00:08

      如果a,b属于GF(P),则有乘法运算a*b=r (mod p), 其中r满足0<r<p-1,即a*b除以p的余数。该操作成为模p乘法。本模块输入两个数,完成两个数的模乘运算。                                                                              

  • 基于RT1170 使能PIT定时功能 (七)2021-08-21 15:02:02

    本文主要是通过迁移的思维,记录本人初次使用NXP MCUXpresso SDK API进行BSP开发 MCUXpresso SDK PIT API 接口链接   在MCUXpresso SDK 框架下提供了对PIT进行操作的接口。周期性的定时器比较简单,相对复杂的应用是组合定时器的使用。挑最简单的方式学习,先"知其然",再"知其所以然"

  • ECDSA—模加减模块2021-08-19 22:33:39

      如果a,b GF(P),则加法运算a+b=r (mod p),其中r满足0<r<p-1,即a+b除以p的余数,该操作成为模p加法。对于模减运算可以视为另类的模加运算,即a+(-b)=k (mod p)。本模块将模加和模减集中在同一模块中,由外部信号控制选择使用模减或者模减运算。                        

  • SPI传输协议笔记2021-08-14 10:02:17

    SPI传输协议笔记   SPI: Serial Peripheral Interface   由Motorola发起的一个同步串行数据传输标准,全双工,以主从(Master/Slave)模式通信。多片从设备使用片选信号(chip select)。SPI又称为4线串行总线(four-wire serial bus) MISO: Master input Slave output, output from sl

  • FPGA学习之秒表2021-08-06 21:32:59

    1、资源    a、FPGA控制板;    b、两个级联的74HC595控制 8段8位共阳极数码管;         c、两个按键; 2、想法   实现秒表功能;   初始状态,秒表零。   按下按键1:开始跑秒;   再次按下按键1,停止跑秒;---->往后分两种情况:     ---->a、再次按下按键1,继续跑秒;    

  • STM8S自学笔记-004 时钟2021-08-05 23:33:10

    STM8S自学笔记-004 时钟与延时 STM8S的时钟源单片机世界的多种时钟源内部时钟源外部时钟源 STM8S的时钟源 上电复位后的STM8S时钟设置代码 STM8S的时钟源 单片机世界的多种时钟源 单片机的时钟源有很多种,根据其来源可将它们大致分为两类:内部时钟源 和 外部时钟源。而后,

  • 基于Robei:如何利用Lora与机器人进行无线通讯2021-07-31 17:02:24

    文章目录 日常·唠嗑:一、什么是Lora模块二、通讯方式是什么1、模块选择2、参数及设置3、接线方式1、通信接线2、参数修改接线 三、Robei程序设计总结 日常·唠嗑:            今年华南赛区结束了,还有二十多天才进行国赛,也算是有几天清闲日子。对于Lora,我有着特殊的

  • FSM有限状态机(三段式)-Verilog实现2021-07-26 21:33:23

    一. 状态机理论基础 状态机基本概念: 状态机类型: 一、二、三段式状态机各自的优缺点: 状态机质量指标 二.Verilog实现状态机例子 2.1FSM实现实现11010110序列输出 状态机设计 module FSM_gener( input clk, input rst_n, output reg data_current ); //变量分

  • 计数器(Verilog)2021-07-26 15:01:27

    简介 计数器的用处很多,比如在设计分频器时,需要用到计数器对每个时钟边沿进行计数,当记到某个数时,时钟翻转。同样在设计FIFO时,读写指针也需要没读或写一次,就需要讲计数器加1。下面我介绍一些简单的8位计数器的Verilog设计,仿真结果在文末。 8位计数器 计数器的设计如下:在每个时

  • Design Compiler知识整理2021-07-26 14:58:45

    Design Compiler知识整理 1 基本操作2 常用命令 1 基本操作 在dc_shell下也可以使用unix命令诸如: pwd、 cd 、ls、history、alias等。重复最后一条命令dc_shell> !!执行第七条命令dc_shell> !7执行最后一条report命令dc_shell> !rep执行任何shell命令dc_shell> sh < UNIX

  • 数字asic流程实验(三) Verilog编写&前仿真2021-07-26 01:03:00

    数字asic流程实验(三) Verilog编写&前仿真 1.Verilog编写 本次实验要实现的是一个三级抽取CIC滤波器,抽取系数为64。回顾上一章节中的CIC滤波器结构,可以发现其硬件实现是非常简单的,积分器的部分通过加法器与D触发器即可实现,降采样通过分频器实现,梳状器的部分则通过减法器和触发器实现

  • 图像处理算法的仿真平台之VGA时序2021-07-25 14:32:34

    一  概述         图像处理算法一般是用matla或OpenCV实现的,若是用FPGA实现,设计思路差别极大。matlab和opencv的优势:这些工具的优势在于可以方便地载入图像文件,或输出数据到图像文件,同时提供了大量的API函数,便于使用者快速实现想要的功能,同时又能通过查看图像文件直观地看到

  • 2021-07-252021-07-25 13:34:15

    单bit跨时钟域脉冲同步器,快时钟域到慢时钟域脉冲同步 模块 module mul_clk( input clk_a , input clk_b , input rst_n , input din , output reg dout ); reg din_dely = 0; reg feedback = 0; always@(posedge clk_a or negedge rst_

  • 2021-07-232021-07-23 16:31:52

    实验一 VGA显示RGB彩条实验 学习目的: 1. 学习VGA 2. 学习视频的时序 3. 学习RGB颜色空间 实验原理: VGA接口是经典的显示接口, 传输信号为模拟信号. 使用ADC: ADV7123 将24bit 的RGB 信号转化为模拟信号 实验步骤 1. 打开priject_1 , 用quartus II 打开par 目录中

  • FPGA电梯控制系统2021-07-21 14:00:03

    通信本科,专业综合课程设计题目,基于FPGA的电梯控制系统。 硬件平台:FPGA 黑金开发平台 AX4010。参考资料 软件平台:quartus13.1(参考正点原子) 百度网盘下载地址 提取码: jxpe 破解地址 所实现的功能: 显示部分:六位数码管第一位显示用户设置的目标楼层数,最后一位显示电梯当

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有