ICode9

精准搜索请尝试: 精确搜索
  • 日常记录(46)寄存器模型、工厂、回调2022-01-23 19:01:48

    寄存器模型 前门访问 通过协议进行数据正规访问 定义seq class reg_access_sequence extends uvm_sequence#(bus_transaction); string tID = get_type_name(); bit[15:0] addr; bit[15:0] rdata; bit[15:0] wdata; bit is_wr; `uvm_object_utils(reg_

  • UVM-sequence,sequencer,driver2022-01-23 14:58:40

    package pack1; //pack1头 import uvm_pkg::*; //+UVM `include "uvm_macros.svh"//+工厂 class item extends uvm_sequence_item; rand int data_auto; rand int data_noauto; //声明两个data,一个加入自动化域,一个 //不加入 `uvm_object_utils_begin

  • uvm_common_phase.svh2022-01-12 12:04:21

    run_phase extends uvm_task_phase 除了uvm_build_phase和uvm_final_phase的执行顺序是自上而下的(uvm_topdown_phase),剩下的所有不耗费时间的phase都是自下而上的(uvm_bottomup_phase)。 get_name()的返回值是除掉uvm__phase的name. exec_func(uvm_component,uvm_phase

  • uvm_topdown_phase.svh2022-01-12 12:03:35

    1、traverse 三个参数 case(state) UVM_PHASE_STARTED: uvm_component,phase_started()是callback函数 UVM_PHASE_EXCUTING: 标准用法中都可以直接进入分支 line75用于判断是不是手动调用了build_phase,如果不是m_phasing_active就不等于0 line76-77判断是不是需要执行新的phase,

  • uvm_task_phase.svh2022-01-12 12:01:53

    构造函数new uvm_phase的类型是UVM_PHASE_IMP 其实跟uvm_bottomup_phase.svh长的差不多。 fork......join_none从上到下的并行进程的挂起。

  • UVM实战 卷I学习笔记11——UVM中的factory机制(2)2022-01-10 23:04:01

    目录 *复杂的重载*factory机制的调试 常用的重载*重载transaction*重载sequence*重载component重载driver以实现所有的测试用例 *复杂的重载 前面的例子讲述了简单的重载功能,即只使用一种类型重载另外一种类型。事实上UVM支持连续的重载。依然以bird与parrot的例子讲述

  • 日常记录(35)UVM的phase2022-01-09 15:33:29

    公说公有理,婆说婆有理。我就直接用。   用build phase创建实例,用connect phase建立通信,然后经过两个phase后,进入仿真时间域中。run phase与另外的12个phase 并行。12个phase主要是reset、config、main、shutdown。 处理完以后,退出仿真时间域,过另外4个phase的处理后结束。   注意

  • phase机制-UVM2022-01-08 18:34:11

    文章目录 一、phase机制1.1 为什么要使用phase机制?1.2 phase是如何运行的?1.3 phase的调试1.4 如何使用super.xxx_phase的执行1.4.1 在component中使用super.xxx_phase的执行1.4.2 在object中使用super.xxx_phase的执行 一、phase机制 UVM中的phase机制是将仿真阶段层

  • build_phase()与build()的关系2022-01-04 11:05:03

    先来给结论,如果build_phase继承自uvm_component的话,那么build_phase里面会调用build函数。 下面我们通过源码和例子来进行分析。 build被调用了。 尝试注释掉super.build_phase,你会发现build就没有被调用。 super.build_phase里面到底做了什么呢? build_phase调用了build(

  • uvm_component---super.xxx_phase2022-01-04 11:03:54

    对于build_phase来说,uvm_component对其做的最重要的事情就是自动获取通过config_db::set设置的参数。如果要关掉这个功能,可以在自己的build_phase中不调用super.build_phase。 参考链接:https://www.cnblogs.com/xuqing125/p/15761692.html connect/start_of_simulation等其实都没

  • UVM1-基础知识篇2022-01-02 15:34:04

    //------------UVM基础知识篇------------ package pack1; //pack1头 import uvm_pkg::*; //+UVM `include "uvm_macros.svh"//+工厂 //目标类与组件类,目标类可以游离的存在,组件类必须挂在某个位置,或许是 //root或许是其他组件 //目标类 class obj1 extends uvm_

  • Maven2021-12-27 03:33:18

    Maven 把项目打包成jar包,通过maven插件 maven-jar-plugin maven-assembly-plugin maven-shade-plugin spring-boot-maven-plugin 如果中央仓库和本地仓库都没有的jar包,就需要自己安装这个jar包到本地仓库 构建生命周期 build lifecycle 构建生命周期,描述了构建的过程。Maven内置

  • Boob炸弹拆除IDA版2021-12-26 19:00:56

    一、准备阶段 打开IDA 将boob拖入IDA F5进入伪代码 二、代码调试 第一题 双击phase_1进入函数 显然第一题答案为 I am not part of the problem. I am a Republican. 第二题 双击phase_2进入函数 显然这里会输入六个数字,且第一个为1,其后的数字为前一个数字的两倍,故答

  • Java maven构建命令使用总结2021-12-17 01:01:43

    实践环境 Apache Maven 3.0.5 (Red Hat 3.0.5-17) maven构建生命周期 学习Maven构建命令之前,我们不烦先简单了解下Maven构建生命周期。 Maven基于构建生命周期的核心概念。构建生命周期由phase(形如clean,compile, install等)组成。每个phase由插件目标Plugin goal(形如sonar:sonar)

  • UVM——一个简单的入门testbench2021-12-08 22:05:02

    先写好一个top.sv 查看代码 // 导入VCS或者Modelsim自带的UVM库和宏 `include "uvm_macros.svh" import uvm_pkg::*; // 下面这些sv都是接下来要写的 `include "driver.sv" `include "monitor.sv" `include "agent.sv" `include "env.sv" `include "

  • 安科瑞ADF400多回路电能表在越南的应用2021-12-08 17:30:02

    Application of Acrel ADF400L Multi Circuits Energy Meter in Vietnam  安科瑞 王冲 摘要:本文介绍了ADF400多回路电能表在越南的应用。ADF400L系列多回路电能表,通过组合模块的方式可以实现不超过36路单相或12路三相、CT连接或直接连接。本系列电能表具有高精度、高集中度、集

  • mini_imagenet 数据集生成工具 (续)2021-12-05 17:04:34

    续接前文:  mini_imagenet 数据集生成工具         ============================================   前文接受了mini_imagenet数据集的生成,但是few shot learning常用的episode学习方法是在数据集的基础上划分task episode,每个episode相当于是一个task,比如把数据集划分2000

  • (25)UVM 寄存器模型集成2021-11-23 10:02:55

    UVM 寄存器模型集成 文章目录 UVM 寄存器模型集成总线UVC实现代码MCDF寄存器设计代码param_def.vreg.v 寄存器模型集成adapter集成 关注作者 MCDF访问寄存器的总线接口时序较为简单。控制寄存器接口首先需要在每一个时钟解析cmd。当cmd为写指令时,即需要把数据cmd_data_in

  • (23)UVM 层次化sequence构建方式(layering sequence)2021-11-21 09:03:18

    UVM 层次化sequence构建方式(layering sequence) 文章目录 UVM 层次化sequence构建方式(layering sequence)layering sequence介绍layering sequence示例layering sequence解析 关注作者 layering sequence介绍 如果我们在构建更加复杂的协议总线传输,例如PCIe,USB3.0等,那

  • (7)UVM objection机制2021-11-20 10:31:13

    (7)UVM objection机制 objection机制控制objection的最佳选择 UVM-1.1之后,结束仿真的机制有且只有一种,那就是利用objection挂起机制来控制仿真结束。 objection机制 参与到objection机制中的参与组件,可以独立的各自挂起objection,来防止run_phase退出,但是只有这些组件都落

  • (4)UVM TLM通信之端口的互联2021-11-16 13:05:31

    UVM TLM通信之端口的互联 PORT与EXPORT的连接PORT和IMPORT的连接EXPORT和IMP的连接PORT和PORT的连接EXPORT和EXPORT的连接 PORT与EXPORT的连接 如图所示,ABCD四个端口, 要在A和B之间、C和D之间通信。为了实现这个目标,必须要在A和B之间、C和D之间建立一种连接关系,否则的话,A

  • (6)UVM phase机制2021-11-10 10:59:20

    phase机制 文章目录 phase机制一、前言二、执行机制三、 phase例子四、十二个分支phase五、UVM编译和运行顺序六、UVM仿真开始七、UVM仿真结束 关注作者 一、前言 SV的验证环境构建中,我们可以发现,传统的硬件设计模型在仿真开始前,已经完成例化和连接了;而SV的软件部分对象

  • UVM中sequence的两种启动方式2021-10-30 19:34:16

    第一种: 当完成一个sequence的定义后,可以使用start任务将其启动: task my_env::main_phase(uvm_phase phase); my_sequence seq; // phase.raise_objection(this); seq = my_sequence::type_id::create("seq"); //或者 seq = new("seq");

  • 碰撞检测相关2021-10-08 22:58:11

    游戏中碰撞检测分为两个阶段:broad phase 和 narrow phase。接下来要介绍的就是broad phase。在broad phase这个阶段,我们的主要任务是将屏幕上的物体进行筛选,筛选出最可能发生碰撞的物体集合。我们就需要一个这样的算法去将屏幕上可能和不可能发生碰撞的物体区分开来。 碰撞检测_

  • 1.6.1.5. Startup and Shutdown Callbacks 启动和停止回调2021-10-01 10:01:39

     Spring Framework Documentation (5.3.10) Core IoC Container, Events, Resources, i18n, Validation, Data Binding, Type Conversion, SpEL, AOP.    Core Technologies 1. The IoC Container 1.1. Introduction to the Spring IoC Container and Beans(Spring IoC容器

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有