ICode9

精准搜索请尝试: 精确搜索
  • UVM_COOKBOOK学习【DUT-Testbench Connections】2021-08-23 23:32:17

    关注微信公众号摸鱼范式,后台回复COOKBOOK获取COOKBOOK原本和译本 PDF度盘链接 将testbench连接到DUT 概述 本节,我们主要讨论将UVM testbench连接到RTL DUT的问题。 UVM testbench对象不能直接连接到DUT信号来驱动或采样。driver和monitor组件对象与DUT之间的连接是通过一个或多个

  • 《UVM实战》笔记 phase机制2021-07-31 23:03:29

    第5章 UVM验证平台的运行 5.1 phase机制 phase机制_wonder_coole的博客-CSDN博客 function phase,不耗费仿真时间,通过函数来实现; task phase,它们耗费仿真时间,通过任务来实现。 对于function phase来说,在同一时间只有一个phase在执行; 但是task phase中,run_phase和其下属12个小的ph

  • 路径规划—PRM(Probabilistic Road Map)2021-07-26 20:01:23

    一、原理: Probabilistic Road Map, 分为两个过程 Learning phase和Query phase. Learning phase: 1. Sample N points in C-space.(在空间内撒N个点) 2. Delete points that are not collision-free. 3. Connect to nearest points and get collision-free segments. 4. Delete seg

  • IC验证面试之UVM(二)2021-07-14 13:34:18

    文章目录 4.UVM环境中的通信机制?5.UVM中的port、export和imp?6.UVM中run_phase和main_phase的区别?7.UVM中sequence要去调用sequencer中的东西,怎么调用?8.UVM中工厂覆盖机制和callback的区别? 以下为个人答案,水平有限。如有解释不对的地方,欢迎大家评论区留言一起学习交流。

  • Upgrade Oracle Database Manually from 12.2.0.1 to 19c2021-06-25 15:34:49

    Upgrade Oracle Database Manually from 12.2.0.1 to 19c (NON-CDB) Table of Contents___________________________________________________________________________________________________ 0. Check Compatibility Before Upgrading Oracle Database1. Environment PRE-

  • 开关电源环路补偿--开关电源进阶知识补充2021-06-22 14:00:02

    笔记 一 . 做开关电源环路补偿时候为了使得环路更加稳定。 1 .-- > 希望开关电源的相位裕量在45° 以上, 2. – > 希望开关电源的增益裕量在10db 以上 3. – > 希望开关电源增益曲线在过0db 时候以20db /dec 斜率下降(体现1个极点) 4. – > 希望开关电源补偿之后的带宽达到开关

  • [搬运] Engineering Applications2021-06-05 15:01:53

     搬运自https://sites.google.com/site/butwhymath/complex-numbers/applications,这个博客一系列的文章都很好。国外的文章和教材有语言的障碍,但是没有理解的障碍;国内的文章和教材虽然没有语言的障碍,但是有理解的障碍。有时间我会把其中比较关键的段落翻译一下,诸位共勉~ Engineer

  • Eclipse集成Maven打包时报错:[ERROR] Unknown lifecycle phase “mvn“. You must specify a valid lifecycle phase2021-06-02 07:05:40

    1、Eclipse集成Maven打包时报错:[ERROR] Unknown lifecycle phase "mvn". You must specify a valid lifecycle phase or a goal in the format。 使用eclipse打包项目,右击项目Run As,然后执行maven build的时候,输入mvn clean package -Dmaven.test.skip=true -P prod,或者mvn clean

  • Maven plugin中的lifecycle、phase、goal、mojo概念及作用的理解2021-05-28 23:53:40

    Maven   Maven有一个十分完善的生命周期模型(lifecycle),它有三套相互独立的生命周期,请注意这里说的是三套,而且相互独立,请别将Maven的生命周期看成一个整体哦,三个生命周期是独立线性执行的!分别是:  1. Clean Lifecycle 在进行真正的构建之前进行一些清理工

  • K8sPod对象的生命周期2021-05-24 13:01:19

    Pod的相位 Pod对象总是应该处于其生命进程中以下几个相位phase之一 Pod生命周期中的重要行为

  • Linux高产出自动挖Chia币教程2021-05-01 22:52:18

       Linux高产出自动挖Chia币教程      1. 概述chia挖矿分为两个环节:P图和挖矿P图环节用官方命令行P图,用开源软件Plotman管理和调度任务,并且可以实现任务暂停,恢复,清理。挖矿环节用官方图形界面挖矿(本教程使用Ubuntu系统) 2. P图步骤1. 安装chia-blockchain官方挖矿软件(界面

  • sequence的仲裁机制2021-04-28 15:02:42

    概述 sequence可通过sequencer向drive发送你的待测case,如果一个sequencer只发送一个sequence是不需要仲裁的,但在实际使用中,如果一个sequencer接收了两个sequence或者更多的时候,会怎样发送各自sequence的case呢?如果你要发送的case需要存在同步关系,要怎么来做这样的同步关系呢?这个时

  • 论文阅读:TRAINING ASR MODELS BY GENERATION OF CONTEXTUAL INFORMATION2021-04-16 16:03:34

    (icassp2020)论文阅读:TRAINING ASR MODELS BY GENERATION OF CONTEXTUAL INFORMATION 下载链接:https://arxiv.org/abs/1910.12367 主要思想:        利用海量的弱监督数据和部分常规的标注数据进行e2e模型训练。【这里的弱监督数据主要指的是仅含有上下文相关文本的音频数据(E

  • Process Manager for Linux Phase 12021-04-06 20:33:14

    Process Manager for Linux Phase 1 我的课设! Requirement 这部分的设计要求是: 实现一个基于控制台的进程管理器,包含以下功能: 记录:将获取到的进程信息每一分钟保存到某个文档中,文档名称为当前的时间戳。 查找:用户输入要查找的进程ID,系统调用查找函数进行查找并显示结果。 显示:当

  • 《UVM实战》笔记part1(第一、二章+常见缩写)2021-04-01 14:01:37

    目录 一、代码常用缩写: 二、简单的UVM平台 验证平台四大部件: driver: scoreboard记分板: monitor: reference model参考模型: ·所有派生自uvm_driver的类的new函数有两个参数: main_phase: uvm_info宏: 工厂机制: run_test: objection机制: config_db机制: build_phase uvm_fatal宏 平台各

  • 「Kubernetes」- 重新申请证书 @202102272021-02-27 23:01:34

    问题描述 在Kubernetes Cluster中,在集群初时化时创建的证书壹年到期。到期之后,集群的各个组件之间将无法访问。 环境概述 属性信息系统环境CentOS Linux release 7.4.1708 (Core)软件版本Kubernetes v1.12.1 解决办法 通过延长证书期限即可解决问题…………无需重新生成证书。

  • 远程克隆PDB2021-02-21 21:54:06

    基础环境:源端:Oracle Database 12c Enterprise Edition Release 12.2.0.1.0 - 64bit Production目标端:Oracle Database 19c Enterprise Edition Release 19.0.0.0.0 - Production源端:1.查看undo管理方式,需要开启本地undo SQL> col PROPERTY_NAME for a25; SQL> col PROPERTY_

  • 数字IC验证之“创建agent当中的三个组件”(6)连载中...2021-01-27 18:57:03

    大家好,我是一哥,上章我们进行了事物级建模,以及构建事物发生器sequence,搭建一个可以运行的uvm测试平台,从本章我们开始创建agent当中的三个组件,sequencer,driver,monitor。 sequencer与sequence的名字非常接近,可以看出它们两者有着直接的联系。从uvm平台结构上来看,sequence item与

  • uvm中类继承和phase2021-01-18 15:05:22

    1,uvm中类继承关系如下所示    2,uvm中phase如下所示  

  • 哈工大计算机系统实验三——二进制炸弹2021-01-11 14:02:42

    实验三还是挺好玩的,拆炸弹,这个实验每个人的炸弹都不一样,所以只能靠自己啦。 可以下载一个工具(忘了叫啥),可以将汇编语言直接转换为c语言,然后直接破解炸弹。 第六个炸弹我们都是1-6个数字的一个排序,所以。。。就算你没看懂汇编语言,你也可以以试错的方式把答案试出来哦。 把火炬

  • 分布式事务-3pc(three-phase commit)2020-12-23 18:05:32

    3pc (三阶段提交协议) https://en.wikipedia.org/wiki/Three-phase_commit_protocol  关于三阶段提交,对于2pc(two-phase commit)由于其存在一定的缺陷点存在于在 2pc 中 commit phase 阶段 对于 协调者和参与者可能存在 事务操作失败的情况,而在该阶段的失败并不能触发协调者

  • maven~多个plugin相同phase的执行顺序2020-12-23 09:35:04

    对于maven进行项目构建时,我们会使用到插件,对构建过程进行一些控制,加工,加密,重写,依赖打包等工作,一般我们打包时会把phase定为package,意思是说,当进行package动作后,处理你的插件。 多个相同phase的顺序 当我们有多个plugin,并且相同phase时,有时需要考虑到顺序问题,因为你的plugin可能会

  • CSAPP炸弹实验bomblab2020-12-13 22:03:00

    bomblab是计组里面我做的最认真的一个实验了 折腾汇编两三个小时最后过关的感觉很有成就感! 这边给上我做的时候的一些过程,可以给大家参考 main函数分析 首先是找到main函数,发现它调用了从phase_1到phase_6这六个函数。这应该就是每一关需要看懂的函数了。 第一关: 入门 找

  • IC验证“UVM验证平台加入factory机制“(六)2020-12-08 12:01:07

    加入factory机制 上一节《IC验证"一个简单的UVM验证平台"是如何搭建的(五)》给出了一个只有driver、使用UVM搭建的验证平台。严格来说这根本就不算是UVM验证平台,因为UVM的特性几乎一 点都没有用到。像上节中my_driver的实例化及drv.main_phase的显式调用,即使不使用UVM,只使用简

  • w-phase震源反演:加速地震海啸预警2020-11-01 16:00:57

    W-phase是到达S波之前的一个长周期阶段。它可以解释为椭球模或瑞利波的基本、第一、第二和第三泛音的叠加(啥叫overtone,是泛音吗),在100-1000秒的周期范围内具有从4.5到9千米s -1的群速度。长周期波的振幅较好地反映了地震引起海啸的潜力。由于W相群速度快,大部分W相能量被包含在P波到

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有