ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

UVM中sequence的两种启动方式

2021-10-30 19:34:16  阅读:328  来源: 互联网

标签:seq 启动 default sequence uvm phase my UVM


第一种:
当完成一个sequence的定义后,可以使用start任务将其启动:

task my_env::main_phase(uvm_phase phase);
   my_sequence seq;                            //
   phase.raise_objection(this);
   seq = my_sequence::type_id::create("seq");  //或者 seq = new("seq");
   seq.start(i_agt.sqr);                       //
   phase.drop_objection(this);
endtask

第二种:
可以使用default_sequence启动。实际上default_sequence会调用start任务,有两种调用方式;
1)default_sequence启动方式

function void my_case0::build_phase(uvm_phase phase);
   super.build_phase(phase);
   uvm_config_db#(uvm_object_wrapper)::set(this,                           //
                                           "env.i_agt.sqr.main_phase",     //
                                           "default_sequence",             //
                                           case0_sequence::type_id::get());//
endfunction

2)default_sequence启动方式

function void my_case0::build_phase(uvm_phase phase);
   case0_sequence cseq;                                              //
   super.build_phase(phase);
   cseq = new("cseq");                                               //
   uvm_config_db#(uvm_sequence_base)::set(this,                      //
                                         "env.i_agt.sqr.main_phase", //
                                         "default_sequence",         //
                                          cseq);                     //
endfunction

标签:seq,启动,default,sequence,uvm,phase,my,UVM
来源: https://blog.csdn.net/Michael177/article/details/121055246

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有