ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

uvm_component---super.xxx_phase

2022-01-04 11:03:54  阅读:175  来源: 互联网

标签:xxx component --- build phase super uvm


对于build_phase来说,uvm_component对其做的最重要的事情就是自动获取通过config_db::set设置的参数。如果要关掉这个功能,可以在自己的build_phase中不调用super.build_phase。
参考链接:https://www.cnblogs.com/xuqing125/p/15761692.html

uvm_component对应的phase定义

  • connect/start_of_simulation等其实都没有定义任何东西,都可以作为一个callback函数来使用。

12个task

  • 12个task更是没有做任何有意义的事情。所以super.xxx_phase是没有任何意义的

标签:xxx,component,---,build,phase,super,uvm
来源: https://www.cnblogs.com/xuqing125/p/15761695.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有