ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

UVM——一个简单的入门testbench

2021-12-08 22:05:02  阅读:310  来源: 互联网

标签:入门 top phase uvm env testbench test UVM


先写好一个top.sv

查看代码
// 导入VCS或者Modelsim自带的UVM库和宏
`include "uvm_macros.svh"
import uvm_pkg::*;

// 下面这些sv都是接下来要写的
`include "driver.sv"
`include "monitor.sv"
`include "agent.sv"
`include "env.sv"
`include "test.sv"

module top;
	initial 
		run_test();
endmodule

 再把test.sv写好

查看代码
class test1 extends uvm_test;
	`uvm_component_utils(test1)
	env t_env;
	
	function new(string name = "test1", uvm_component parent = null);
		super.new(name, parent);
	endfunction: new
	
	function void build_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Build", UVM_LOW);
		t_env = env::type_id::create("t_env", this);
	endfunction: build_phase
	
	function void connect_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Connect", UVM_LOW); 
	endfunction
	
	function void end_of_elaboration_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "End_of_elaboration", UVM_LOW);
	endfunction
	
	function void start_of_simulation_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Start_of_simulation", UVM_LOW);
	endfunction
	
	task run_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Run", UVM_LOW); 
	endtask
	
	task main_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Main", UVM_LOW);
	endtask
	
	function void extranct_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Extranct", UVM_LOW);
	endfunction
	
	function void check_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Check", UVM_LOW);
	endfunction
	
	function void report_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Report", UVM_LOW);
	endfunction
	
	function void final_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Final", UVM_LOW);
	endfunction	
endclass

env.sv

class env extends uvm_env;
	`uvm_component_utils(env)
	agent ag1;
	agent ag2;
	
	function new(string name, uvm_component parent); 
		super.new(name, parent);
	endfunction
	
	function void build_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Build", UVM_LOW);
		ag1 = agent::type_id::create("ag1",this);
		ag2 = agent::type_id::create("ag2",this);
	endfunction: build_phase
	
	function void connect_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Connect", UVM_LOW);
	endfunction
	
	function void end_of_elaboration_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "End_of_elaboration", UVM_LOW);
	endfunction
	
	function void start_of_simulation_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Start_of_simulation", UVM_LOW);
	endfunction
	
	task run_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Run", UVM_LOW); 
	endtask
	
	task main_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Main", UVM_LOW);
	endtask
	
	function void extranct_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Extranct", UVM_LOW);
	endfunction
	
	function void check_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Check", UVM_LOW);
	endfunction
	
	function void report_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Report", UVM_LOW);
	endfunction
	
	function void final_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Final", UVM_LOW);
	endfunction	
endclass

agent.sv

查看代码
class agent extends uvm_agent;
	`uvm_component_utils(agent)
	protected uvm_active_passive_enum is_active = UVM_ACTIVE;
	monitor mon;
	driver drv;
	
	function new(string name, uvm_component parent);
		super.new(name, parent);
	endfunction
	
	function void build_phase(uvm_phase phase);
		// super.build_phase(phase);
		uvm_report_info(get_full_name(), "Build", UVM_LOW);
		mon = monitor::type_id::create("mon", this);  
		drv = driver::type_id::create("drv", this);
	endfunction: build_phase
	
	function void connect_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Connect", UVM_LOW);
	endfunction
	
	function void end_of_elaboration_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "End_of_elaboration", UVM_LOW);
	endfunction
	
	function void start_of_simulation_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Start_of_simulation", UVM_LOW);
	endfunction
	
	task run_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Run", UVM_LOW); 
	endtask
	
	task main_phase(uvm_phase phase);
		uvm_report_info(get_full_name(), "Main", UVM_LOW);
	endtask
	
	function void extranct_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Extranct", UVM_LOW);
	endfunction
	
	function void check_phase(uvm_phase phase);
		uvm_report_info(get_full_name(), "Check", UVM_LOW);
	endfunction
	
	function void report_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Report", UVM_LOW);
	endfunction
	
	function void final_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Final", UVM_LOW);
	endfunction	
endclass

driver.sv

查看代码
class driver extends uvm_driver;
	`uvm_component_utils(driver) 
	
	function new(string name, uvm_component parent);
		super.new(name, parent);
	endfunction
	
	function void build_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Build", UVM_LOW);
	endfunction: build_phase
	
	function void connect_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Connect", UVM_LOW);
	endfunction
	
	function void end_of_elaboration_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "End_of_elaboration", UVM_LOW);
	endfunction
	
	function void start_of_simulation_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Start_of_simulation", UVM_LOW);
	endfunction
	
	task run_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Run", UVM_LOW);
	endtask
	
	task main_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Main", UVM_LOW);
	endtask
	
	function void extranct_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Extranct", UVM_LOW);
	endfunction
	
	function void check_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Check", UVM_LOW);
	endfunction
	
	function void report_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Report", UVM_LOW);
	endfunction
	
	function void final_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Final", UVM_LOW);
	endfunction	
endclass

monitor.sv

查看代码
class monitor extends uvm_monitor;
	`uvm_component_utils(monitor)
	
	function new(string name, uvm_component parent);
		super.new(name, parent);
	endfunction
	
	function void build_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Build", UVM_LOW);
	endfunction: build_phase
	
	function void connect_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Connect", UVM_LOW); 
	endfunction
	
	function void end_of_elaboration_phase(uvm_phase phase);
		uvm_report_info(get_full_name(), "End_of_elaboration", UVM_LOW);
	endfunction
	
	function void start_of_simulation_phase(uvm_phase phase);
		uvm_report_info(get_full_name(), "Start_of_simulation", UVM_LOW);
	endfunction
	
	task run_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Run", UVM_LOW); 
	endtask
	
	task main_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Main", UVM_LOW);
	endtask
	
	function void extranct_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Extranct", UVM_LOW);
	endfunction
	
	function void check_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Check", UVM_LOW);
	endfunction
	
	function void report_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Report", UVM_LOW);
	endfunction
	
	function void final_phase(uvm_phase phase); 
		uvm_report_info(get_full_name(), "Final", UVM_LOW);
	endfunction	
endclass

使用Modelsim做一下测试:

$ vlog -sv -mfcu top.sv

$ vsim top +UVM_TESTNAME=test1

$ run

检查一下输出

查看代码
# ----------------------------------------------------------------
# UVM-1.1a
# (C) 2007-2011 Mentor Graphics Corporation
# (C) 2007-2011 Cadence Design Systems, Inc.
# (C) 2006-2011 Synopsys, Inc.
# (C) 2011      Cypress Semiconductor Corp.
# ----------------------------------------------------------------
# 
#   ***********       IMPORTANT RELEASE NOTES         ************
# 
#   You are using a version of the UVM library that has been compiled
#   with `UVM_NO_DEPRECATED undefined.
#   See http://www.accellera.org/activities/vip/release_notes_11a for more details.
# 
#   You are using a version of the UVM library that has been compiled
#   with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
#   See http://www.accellera.org/activities/vip/mantis3770 for more details.
# 
#       (Specify +UVM_NO_RELNOTES to turn off this notice)
# 
# UVM_INFO verilog_src/questa_uvm_pkg-1.2/src/questa_uvm_pkg.sv(215) @ 0: reporter [Questa UVM] QUESTA_UVM-1.2
# UVM_INFO verilog_src/questa_uvm_pkg-1.2/src/questa_uvm_pkg.sv(217) @ 0: reporter [Questa UVM]  questa_uvm::init(+struct)
# UVM_INFO @ 0: reporter [RNTST] Running test test1...
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Build
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Build
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Connect
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Connect
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Connect
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Connect
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Connect
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Connect
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Connect
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Connect
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] End_of_elaboration
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Start_of_simulation
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Run
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Run
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Run
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Run
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Run
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Run
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Run
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Run
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Main
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Main
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Check
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Check
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Check
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Check
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Check
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Check
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Check
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Check
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Report
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Report
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Report
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Report
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Report
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Report
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Report
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Report
# UVM_INFO @ 0: uvm_test_top [uvm_test_top] Final
# UVM_INFO @ 0: uvm_test_top.t_env [uvm_test_top.t_env] Final
# UVM_INFO @ 0: uvm_test_top.t_env.ag1 [uvm_test_top.t_env.ag1] Final
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.drv [uvm_test_top.t_env.ag1.drv] Final
# UVM_INFO @ 0: uvm_test_top.t_env.ag1.mon [uvm_test_top.t_env.ag1.mon] Final
# UVM_INFO @ 0: uvm_test_top.t_env.ag2 [uvm_test_top.t_env.ag2] Final
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.drv [uvm_test_top.t_env.ag2.drv] Final
# UVM_INFO @ 0: uvm_test_top.t_env.ag2.mon [uvm_test_top.t_env.ag2.mon] Final
# 
# --- UVM Report Summary ---
# 
# ** Report counts by severity
# UVM_INFO :   75
# UVM_WARNING :    0
# UVM_ERROR :    0
# UVM_FATAL :    0
# ** Report counts by id
# [Questa UVM]     2
# [RNTST]     1
# [uvm_test_top]     9
# [uvm_test_top.t_env]     9
# [uvm_test_top.t_env.ag1]     9
# [uvm_test_top.t_env.ag1.drv]     9
# [uvm_test_top.t_env.ag1.mon]     9
# [uvm_test_top.t_env.ag2]     9
# [uvm_test_top.t_env.ag2.drv]     9
# [uvm_test_top.t_env.ag2.mon]     9
# ** Note: $finish    : C:/modeltech_10.1a/win32/../verilog_src/uvm-1.1a/src/base/uvm_root.svh(408)
#    Time: 0 ns  Iteration: 224  Instance: /top
# 1
# Break in Task uvm_pkg/uvm_root::run_test at C:/modeltech_10.1a/win32/../verilog_src/uvm-1.1a/src/base/uvm_root.svh line 408

标签:入门,top,phase,uvm,env,testbench,test,UVM
来源: https://www.cnblogs.com/shadrach/p/15664615.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有