ICode9

精准搜索请尝试: 精确搜索
  • verilog基础2022-09-05 20:32:07

    位宽: 在芯片设计中,可以理解位连接的线路,位宽数表示线路数量; 芯片内部寄存器:芯片内部由1bit的寄存器组合构成不同位宽寄存器        verilog基础数据类型: wire 线网 :表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动;   wire interrup;   wire flag1, flag2;   wir

  • 可编程USB转 UART/I2C /SMBusS/SPI/CAN/1 -Wire适配器USB2S结构尺寸及电压设置2022-08-24 10:32:00

    河北稳控科技可编程USB转 UART/I2C /SMBusS/SPI/CAN/1 -Wire适配器USB2S结构尺寸及电压设置 1.1 外形尺寸外形尺寸:30*45mm(不含 USB 接口)   1.2 结构组成   2.1电源输入 USB2S 使用USB 接口供电,电压范围为 3~10V,若无特殊说明,以下均使用标准的 USB 接口 5.0V,即 VIN=5.0V。 2.2

  • python之selenium wire获取请求头参数2022-08-11 20:02:47

    selenium-wire扩展了 Selenium 的 Python 绑定,让您可以访问浏览器发出的底层请求。 您编写代码的方式与使用 Selenium 的方式相同,但您可以获得额外的 API 来检查请求和响应并动态更改它们 一:简介 selenium是爬虫常用的手段之一,由于是使用浏览器驱动模拟手动操作,所以只要掌握一些

  • Verilog中for循环的使用2022-06-23 11:05:28

    Verilog 中重复的内容可以使用for循环来完成,目前总结的注意点如下:1、always 内部用for循环,需要定义interger类型变量,否则有些仿真工具会报错2、异步复位时序逻辑always@下面第一行必须是异步复位,不能有for循环,否则综合工具会报错 3、for语句在always 外部,或者使用for循环例化模块

  • [USACO07NOV]Telephone Wire G 题解2022-06-17 23:33:28

    题目描述 Farmer John's cows are getting restless about their poor telephone service; they want FJ to replace the old telephone wire with new, more efficient wire. The new wiring will utilize \(N\) \((2 ≤ N ≤ 100,000)\) already-installed telephone pol

  • 调用RAM IP核完成乒乓操作12022-06-09 16:35:31

    一、 乒乓操作 两个RAM交替读写,简称为RAM的乒乓操作。 二、乒乓操作练习 1、两个异步双口RAMA和RAMB,读写位宽皆为8bit,时钟皆为50mhz,深度皆为1024; 2、当 RAMA 被写入 1024 字节时,给读时序提供一个启动信号读取 RAMA 的数据,读取完 RAMA 的 1024 字节数据时,切换读 RAMB 以此类推。 3

  • 第三章语法2022-06-07 20:00:07

    1.模块语句及表达 module name(a,b,c,d,e,f);//模块名(信号列表) input a,b; output c; inout d; input [4:1]e; output [4:1]f; endmodule input:单向输入 output:单向输出 inout:双向(输入输出)端口 2 assign与wire语句 module name(a,b,c,d,e,f); input a,

  • FPGA以太网篇之GMII/RGMII2022-05-13 11:01:43

      MII是英文Medium Independent Interface的缩写,翻译成中文就是“介质独立接口”,该接口一般应用于以太网硬件平台的MAC层和PHY层之间,MII接口的类型有很多,常见的有MII、RMII、SMII、SSMII、SSSMII、GMII、RGMII、SGMII等。下面笔者只对GMII与RGMII做些介绍,其他接口可以自行了解。

  • 状态机练习-基于MCP33131-10 与 LCD12864 (SPI串口)的 ADC电压显示2022-04-30 00:32:07

    LCD12864用 的是SPI串口形式,接口信号简单,好久之前用过LCD12864做过实验,“LCD12864 液晶显示-汉字及自定义显示(串口)”,但现在拿之前的代码下载进去,压根就不正常,现在看之前写的代码,真的是没法入眼,很不规范。 时序:    在时钟的低电平中间发送数据 sck的时钟需确认,看下表,SCLK的最小

  • 按键消抖实验2022-04-20 20:34:54

    当按键被按下在到被释放,期间产生的输入信号会发生抖动,如果不进行消抖处理,直接使用可能就会误触发。 按键消抖实验设计思路,当按键被按下,隔20ms取数据,也就是说20ms的前后各取一次数据进行边沿检测: (1)、需要一个计数器cnt,因为按键随时都可能会被按下,所以计数器需一直启动在计数,需一直

  • 【时钟分频】— 50MHz产生12.5MHz的4分频时钟2022-04-20 11:32:25

    一、设计文件 module div_clk( input wire sclk, input wire rst_n, output wire po_div_clk ); parameter DIV_END = 8'd3; reg [7:0] div_cnt; reg div_clk_o; //div_cnt always @ (posedge sclk or nege

  • occ中如何创建带有洞口的拉伸体2022-04-14 16:32:39

    occ官方demo中没有给出如何创建带有洞口的拉伸体的实例,通过查阅头文件,发现如下用法。 在通过 BRepBuilderAPI_MakeFace 类构造拉伸基准面时,通过 Add 函数添加开洞的区域。 //! Adds the wire W to the constructed face as a hole. //! Warning //! W must not cross t

  • 用Verilog写AXI4_lite从机协议2022-03-30 14:04:47

      用过Xilinx Z7系列的过来人应该都很熟悉AXI4_lite协议,Z7的优点就在于有了soc,而如何将PL,PS端的信号互联,Xilinx就用到了AMBA协议的AXI部分。现在就AXI4_lite协议来分析下,AXI4_lite属于AXI4协议的轻量级形式,是简化版的 AXI4 接口, 用于较少数据量的存储映射通信。   AXI4是一

  • 异步FIFO设计2022-03-08 16:02:53

    引言 异步FIFO被广泛使用在数字电路中,不论是作为数据buffer还是数据跨时钟域处理、不同位宽数据的缓冲。 本人以往的使用经验都是直接调用IP。但是异步FIFO的原理也是应该熟悉的,这样一种经典的电路设计十分巧妙,如何做到安全缓冲并有效避免了数据上溢或下溢? 本文参考了CE Cummings

  • Stomp2022-03-01 14:04:26

            The Simple Text Oriented Messaging Protocol What is it? STOMP is the Simple (or Streaming) Text Orientated Messaging Protocol. STOMP provides an interoperable wire format so that STOMP clients can communicate with any STOMP message broker

  • 【FPGA】基于ds18b20单总线温度器设计2022-02-28 20:06:30

    基于ds18b20单总线温度器设计 一、系统框图 状态转换图二、代码三、验证 一、系统框图 状态转换图 二、代码 太久之前写的不想改了直接白嫖的代码 下面展示一些 内联代码片。 ds18b20_driver module ds18b20_driver( input clk , input

  • 杭电数字电路课程设计——出租车计费器2022-02-01 12:00:29

    杭电数字电路课程设计——出租车计费器 实验目的 (1)学习数码管动态扫描方法,进一步熟悉模块调用的方法,锻炼编程设计数字系统的能力。 (2)掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法。 模块设计 (1)分频模块:因出租车计费器模拟以秒为单位,即分频1秒产生一个clk_out,

  • 【正点原子MP157连载】 第十五章 IP核之FIFO实验-摘自【正点原子】STM32MP1嵌入式Linux驱动开发指南V1.72022-01-22 16:34:08

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-318813-1-1.html 4)正点原子官方B站:https://space.bilibili.com/394620890 5)正点原子STM32MP157技术交流群:6

  • 一种基于优先级轮询调度负载均衡的crossbar结构2022-01-17 11:32:35

    特点:支持群组路由,端口可配置 主体代码 `timescale 1ns / 1ps // // Company: nssc // Engineer: liumeng // Create Date: 22:49:51 01/11/2022 // Module Name: lm_rra_sqr_sync // module lm_rra_sqr_sync #( parameter PORT_NUMBER = 4,

  • FPGA数字时钟22022-01-12 18:06:17

    一.数字时钟设计2 本代码借鉴了一些,网上资源。 1.硬件资源:共阴极数码管一块,FPGA开发板一块(EP2C8Q208C8); 2.开发板资源:3颗独立按键,数码管接口; 3 功能设计:三种功能:a.时钟功能;b.校时功能;整点报时。 4.按键功能设计:按键调整数字时钟分钟显示; A代码 module top( input sys_clk, inpu

  • HDLBits(1)——1.2.3.42022-01-11 20:00:56

    这几章习题比较简单,注意一些基本概念,或许面试会考察 1.wire是verilog中的一种数据类型,代表的是信号,而不是连线。 2.大部分verilog代码之间的顺序不会对结果产生影响。assign描述的是端口之间的连接关系,而不是复制一次然后赋值。         这里要澄清一个容易混淆的概念,图中的

  • ori指令的测试2022-01-09 13:58:13

    4.3 验证OpenMIPS实现效果 4.3.1 指令存储器ROM的实现 本节我们验证OpenMIPS是否实现正确,包含:流水线是否正确、ori指令实现是否正确。指令存储器ROM是只读的,接口如图所示: `include "defines.v" module inst_rom( // input wire clk, input wire

  • 日常2021-12-25 16:06:07

    Questra sim用法 vsim *。wif   vlog   vlib   wire与reg assign语句,只有wire可用,直等号,输入输出都行,物理性质多一点,wire综合为线。 always语句,wire不可被赋值,只有reg的output类型可被赋值。reg物理综合为D触发器,或者逻辑门   clockblocking input #1默认,采样上一个时间点的值

  • Arduino—串口通讯与IIC2021-12-17 19:03:53

    1.串口通信 单片机的第五大功能——串口通讯,它可以建立起你的电脑和单片机直接的连接,也可以是其他模块的连接,比如蓝牙,WIFI等,接口都是串口,另一部分的模块,接口时IIC或者SPI,比如陀螺仪一般都是IIC,OLED显示,接口也是IIC 在物理上,串口通信需要三根线 TX RX GND,TX是发送,RX是接受 GND

  • 日常记录(5)Verilog2021-12-09 17:02:16

    Verilog的端口类型以及端口连接规则 https://www.cnblogs.com/Ivan0506/p/15159556.html 1、模块描述时 模块描述时在模块内部对模块的端口进行描述,是从内部角度出发,因此将 input 端口看作外界引申进来的一条线,只能为 wire型变量; 同理 inout 端口作为有输入功能的端口,也应该看作 w

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有