ICode9

精准搜索请尝试: 精确搜索
  • AXI总线信号含义说明2021-06-16 09:03:11

    AXI总线信号含义说明 (1)读地址通道(AR channel):包含ARVALID,ARADDR,ARREADY信号; (2)读数据通道(R channel):包含RVALID, RDATA,RREADY,RRESP信号; (3)写地址通道(AW channel):包含AWVALID,AWADDR,AWREADY信号; (4)写数据通道(W channel):包含WVALID,WDATA,WSTRB, WREADY信号; (5)写应答通道(B channe

  • 基于Verilog的简易计算器2021-06-15 19:34:23

    1.任务 设计一个四位数简易计算器,数字键由矩阵键盘输入,显示由用四位数码管输出,能够正确实现+、-、*、/ 四种运算(不考虑小数的运算)。 2.系统框图 主要由五个模块组成,分别是矩阵键盘扫描模块、数码管显示模块、二进制转BCD模块、运算模块,框图如下图所示: 3.模块化设计 3.1顶层

  • RISC-V学习笔记【交付与写回】2021-06-12 13:57:24

    蜂鸟E203的交付与写回机制 在经典的五级流水线模型中并没有交付的概念,在这里交付(Commit)指的是该指令不再是预测执行(Speculative)状态,而是被判定为可以真正地在处理器中被执行 交付的反义词就是“取消”(Cancel),表示该指令最后被判定为需要取消 如果处理器流水线需要将没有交付的

  • 小四轴实验:MPU6050模块使用2021-06-08 15:02:35

    参考文章:https://www.cnblogs.com/zhoug2020/p/7636742.html MPU6050模块如下图: 该模块测量的是三轴的角速度和角度量,三轴是指Roll、Pitch、Yaw,如下图: 这些信息我们可以用来作为控制无人机的反馈信息,通过控制算法,最终使无人机的姿态(即MPU6050测得的数据)满足我们需要的值,比方说

  • MIPS指令的CPU实现:ALU设计2021-05-29 16:32:48

    设计CPU的第一步,设计一个简单的逻辑运算单元ALU。同时对Verilog语言也有一定要求。 一、实验内容 如图,ALU接受两个N位的输入,得到N位的输出,通过控制信号F决定运算功能。 将ALU的输出结构与七段数码管显示模块连接,使用实验配置的NEXYS4开发板。 结构如下: 编写顶层模块top连接上

  • HLS编程入门2021-05-22 17:03:26

    目录 一、HLS简介二、入门级HLS程序(点亮led灯)(一)仿真(二)烧录 一、HLS简介 HLS是高层综合(High level Synthesis) 是将C或者c++语言编译为FPGA能够读懂和运行的RTL级别的语言 与VHDL或者verilog的比较 优点:用高级语言完成期望在硬件电路上实现的功能,更加抽象和容易实现。

  • Verilog 位拼接运算符{}语法要点总结2021-05-08 12:32:43

    Verilog 位拼接运算符语法要点总结 Verilog位拼接运算符{}语法回顾要点总结 Verilog位拼接运算符{}语法回顾 verilog中{}运算符用于“拼接”多个变量或者常量,基本用法如下: 1、变量的拼接 wire a[3:0], b[4:0]; wire c[7:0]; assign c = {a, b}; 2、变量与常量的拼接 wir

  • PWM generation(一)基于APB总线的32位寄存器2021-05-05 22:02:56

     (一)功能描述:   产生最简单的PWM,且占空比可调。PWM周期p由INIT-MOD差值决定,高电平由MOD与MID差值h决定,占空比r=h/p。可产生中断。 (二)寄存器描述:   包含寄存器名称,地址偏移量,位定义等。 Name STS   Offset 0x00 Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16

  • 日记22021-05-04 23:30:38

    1、使用QUARTUS软件建立工程:一般建立三个文件夹 ① PRJ (存放工程).qpf    ②RTL (存放代码).v   ③  SIM(存放仿真文件).v 2、verilog语言程序模块一开始比较固定:module和endmodule是一个模块的开头和结尾,module后面紧接着是该模块的函数名。 数据类型一般就是wire和reg,(输入不能

  • 一文读懂Wire2021-04-29 15:30:30

    Wire 是啥 Wire 是一个轻巧的Golang依赖注入工具。它由Go Cloud团队开发,通过自动生成代码的方式在编译期完成依赖注入。 依赖注入是保持软件 “低耦合、易维护” 的重要设计准则之一。 此准则被广泛应用在各种开发平台之中,有很多与之相关的优秀工具。 其中最著名的当属 Sprin

  • vivado ZYNQ 打包IP核, 模拟stm322021-04-23 17:02:12

      1 FPGA IP设置 1 生成IP 新建user_gpio.v 代码如下, 目的是为了把AXI总线和FPGA外部引脚联系起来 module user_gpio( input wire gpio_input, output wire [3:0] gpio_output, output wire zynq_gpio_input, input wire [3:0] zynq_gpio_output );

  • golang依赖注入工具wire指南2021-04-12 15:57:29

    wire与依赖注入Wire 是一个的Golang依赖注入工具,通过自动生成代码的方式在编译期完成依赖注入,Java体系中最出名的Spring框架采用运行时注入,个人认为这是wire和其他依赖注入最大的不同之处。 依赖注入(Dependency Injection)也称作控制反转(Inversion of Control),个人给控制反转下的

  • SPI通信协议2021-04-08 23:01:39

    SPI通信协议 1. SPI介绍2. 实现模式0下的SPI协议2.1 top顶层模块2.2 master模块2.2.1 control控制模块2.2.2 tx发送模块2.2.3 rx接收模块 2.3 slave模块2.4 测试 3. 问题分析与总结4. 参考 1. SPI介绍 SPI(Serial Peripheral Interface,串行外围设备接口)通信协议,同步串行接

  • 压缩算法:基于FPGA的Varint编码实现(附代码)2021-04-02 17:59:54

    压缩算法:基于FPGA的Varint编码实现(附代码) 今天是画师第二次和各位大侠见面,执笔绘画FPGA江湖,本人最近项目经验,写了篇基于FPGA的Varint编码(压缩算法)实现,这里分享给大家,仅供参考。如有转载,请在文章底部留言,请勿随意转载,否则有责必究。 一、概念 什么是Varint编码呢?首先我们来介

  • DS18B10 (Programmable Resolution 1-Wire Digital Thermometer 可编程分辨率1线数字温度计)驱动理解2021-03-31 18:57:21

    DS18B20驱动程序探索 前言DS18B20初始化方法1. DQ为何物?2. DS18B20设备初始化流程: 前言 本文通过理解DS18B20的驱动程序,更好的理解DS18B20的功能,其中驱动程序为蓝桥杯官方提供的驱动,解释则通过摘录芯片手册中的内容。 DS18B20初始化方法 下面展示一些 内联代码片。 #inc

  • FPGA——从IIC到SCCB状态机实现2021-02-17 19:32:42

    一、SCCB协议注意事项 1、读时序 2阶段写紧跟着一个2阶段读,意思是读时序有一个完整的2阶段写以及一个完整的2阶段读 所以,读时序是有两个完整阶段的,2阶段写有起始位停止位,2阶段读也有起始位和停止位 2、IIC协议与SCCB协议 IIC协议与SCCB协议的写时序是完全相同的,而读时序通过以上

  • SOME/IP2021-02-06 16:29:24

    SOME/IP is an automotive/embedded communication protocol which supports remote procedure calls, event notifications and the underlying serialization/wire format. 特性: Serialization – transforming into and from on-wire representation.Remote Procedure Call

  • FPGA——IIC状态机实现及仿真2021-02-05 17:34:07

    IIC代码 module iic_module( rst_n , clk , waddr_num , //选择两字节地址或单字节地址 device_addr , word_addr , wr , wr_data , wr_data_vld , rd , rd_data , rd_data_vld , done

  • HDLBits练习汇总-02-Verilog语言--向量部分2021-01-29 19:58:24

    Vector0 向量用于使用一个名称对相关信号进行分组,以使其更易于操作。例如,电线[7:0] w; 声明一个名为w的8位向量,该向量在功能上等同于具有8条独立的导线。 请注意,向量的声明将维放置在向量名称之前,这与C语法相比并不常见。然而,部分选择了尺寸后,如你所期望的矢量名称。 wire [99

  • 【ZYNQ Ultrascale+ MPSOC FPGA教程】第八章FPGA片内FIFO读写测试实验2021-01-20 15:31:05

    原创声明: 本原创教程由芯驿电子科技(上海)有限公司(ALINX)创作,版权归本公司所有,如需转载,需授权并注明出处。 适用于板卡型号: AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG 实验Vivado工程为“fifo_test”。 FIFO是FPGA应用当中非常重要的模块,广泛用

  • FPGA之AD5522控制器及其内部寄存器讲解2020-12-28 19:32:18

    今天给大家分享一下用FPGA控制AD5522,以及其芯片的工作原理和内部寄存器的控制。 首先给大家找了AD官网关于AD5522的介绍[AD5522JSVUZ.pdf](https://www.analog.com/media/en/technical-documentation/data-sheets/AD5522.pdf) 打开该数据手册,可以看到芯片内部的功能块图

  • BMP280之IIC读部分的 verilog代码2020-12-25 10:00:55

    读可以为1-3个读突发: module bmp280_rd( input wire sclk,//system clock 100MHz input wire rst,//system reset,active high input wire start,//starting signal for sending datas input wire[7:0] regaddr,//register address input wire[2:0] burst_len, o

  • 从一个记忆游戏来说明Verilog——5、顶层模块的书写2020-12-24 18:01:10

    内容回顾 到这里我们已经实现了所有的子模块,这篇博客简单的对我们的小游戏进行了分析,现在我们按照自顶向下的方式,搭出来主模块的内容。 主模块 首先是输入输出: 输入:5个按键开关、8个拨码开关,一个时钟信号 输出:两组led灯的内容&使能端 因为是拨码开关,我们需要对输入的信号进行

  • appium工作原理2020-12-20 18:33:45

      Json Wire Protocol:  它是一种协议,遵循REST风格,以JSON方法进行传输,是一系列的键值对,  遵循W3C WebDriver Spec设计风格;appium中的Json wire protocol继承自  selenium的webdriver wire protocol,并进行了扩展,使得Json wire protocol能  够控制不同的移动设备的行为。  boo

  • 曲线离散算法2020-12-20 14:02:10

    在做CAD/CAM开发时,经常会遇到要将曲线离散成点。例如机床要沿一条空间曲线或平面样条曲线运行时,实际是把先把曲线离散成很小的直线段。然后进行直线插补运动。本文列出了我经常用到的几种算法并附上源码,供大家参考。 主要有三种离散方法,按数量离散、按长度离散、按弦高离散,根据实

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有