ICode9

精准搜索请尝试: 精确搜索
  • 【转】vivado18.3的安装 安装教程2021-10-14 16:57:58

    原装性能 Xilinx下载器 赛灵思下载线 Platform Cable USB仿真器 Xilinx下载器 CPLD FPGA digilent usb JTAG SMT2 高速 赛灵思线 转自:Vivado18.3的安装 安装教程_Daniel_Banana的博客-CSDN博客 本文内容学习自【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——基础部分 1.Vi

  • Xilinx FGPA Pinout文件查找2021-10-10 18:03:44

    1、打开xilinx官网 公司简介–>技术支持—>技术支持主页 2、主页–>技术支持 3、主页–>技术支持–>封装与引脚分配文件门户 4、主页–>技术支持–>封装文件–>artix-7 FPGA Package Device Pinout Files

  • FPGA Base Xilinx AMBA AXI Protocol Checker小试2021-10-04 00:00:52

    AMBA中AXI总线目前已经广泛的在FPGA中使用 Xilinx为用户提供了很多关于AXI接口相关的IP,今天这里的主角就是Xilinx的《AXI Protocol Checker》 用户在编写玩AXI4接口的模块后,可以使用该IP对读写功能进行验证 然后在PC_Status端口检查错误异常标志位,看接口是否满足标准的AXI3、A

  • 自制Xilinx JTAG仿真器2021-10-03 23:58:51

    这里写目录标题 工具原理图PCB烧录 eeprom工程地址注    本草稿最后日期为 2021-01-23,本次趁闲暇时间完善,本文主要说明的是自制Xilinx Jtag 仿真器。   也是巧合,在 GitHub 上无意中发现了有人发布了Jtag 的做法,于是根据上面提供的原理图试着做了两个耍耍。 工具 ki

  • 记录 安装vivado 2020.12021-09-22 14:31:29

    参考:Xilinx Vivado Vitis 2020.1 Installation / Applications & Desktop Environments / Arch Linux Forums 安装时出现如下错误: Exception in thread "SPLASH_LOAD_MESSAGE" java.lang.IllegalStateException: no splash screen available at java.desktop/jav

  • Xilinx约束学习笔记(三)—— 时序概念2021-09-15 22:04:00

    3. 时序概念 发现对于时序基础的介绍这一块,Intel 的文档竟然要比 Xilinx 的详细,因此引用了很多 Intel 的文档内容。 3.1 术语 发送沿(launch edge),指用来发送数据的源时钟的活动边缘。 采样沿(capture edge),Intel 的文档中称作 latch edge。指的是对数据进行采样的目的时钟的活动

  • 基于Xilinx的XDMA核实现PCIE数据读写2021-09-08 15:34:22

    基于Xilinx的XDMA核实现PCIE数据读写 1 PCIE协议简介 随着现代处理器技术的发展,在互连领域中,使用高速差分总线替代并行总线是大势所趋。与单端并行信号相比,高速差分信号可以使用更高的时钟频率,从而使用更少的信号线,完成之前需要许多单端并行数据信号才能达到的总线带宽。 PCI

  • Xilinx约束学习笔记(二)—— 定义时钟2021-08-24 23:01:46

    2. 定义时钟 2.1 关于时钟 为了获得最佳精度路径覆盖信息,必须正确定义时钟。 时钟要定义在时钟树的根 pin 或 port 上,称为 source point。 时钟的边缘应该由周期和波形进行组合描述。 周期使用纳秒做为单位进行定义。它对应于波形重复的时间。 波形是一系列的上升沿和下降沿绝对

  • “FPGA+CPU” 并行处理大行其道2021-08-22 16:01:53

    http://www.eepw.com.cn/article/201808/387131.htm   深亚微米时代,传统材料、结构乃至工艺都在趋于极限状态,摩尔定律也已有些捉襟见肘。而步入深亚纳米时代,晶体管的尺寸就将接近单个原子,无法再往下缩减。传统ASIC和ASSP设计不可避免地遭遇了诸如设计流程复杂、生产良率降低、设

  • Xilinx ACAP介绍2021-08-21 18:02:34

    https://zhuanlan.zhihu.com/p/68321181   引言 随着机器学习算法的研究,其变得越来越复杂和多样性。计算密集性对计算资源和存储以及带宽提出了更高的要求,复杂性更要求硬件要有很好的灵活性。CPU是一个标量处理单元,对于处理过程复杂的算法很灵活,比如决策树和大量的图像或者机器学

  • Xilinx announces new line of adaptable SmartNIC cards---Alveo SN1000 SmartNICs2021-08-17 09:04:33

    https://www.networkworld.com/article/3610394/xilinx-announces-new-line-of-adaptable-smartnic-cards.html   Xilinx announces new line of adaptable SmartNIC cards Alveo SN1000 SmartNICs come with 100Gb/s line rate network function offload and composability f

  • 【分享】自动删除Xilinx的SDK/Vitis下软件(驱动程序)的旧版本的Linux脚本,便于Source Insight查看Xilinx的软件代码2021-07-30 17:01:44

    Xilinx的开发工具SDK/Vitis都可以自动根据Vivado设计,创建软件工程,自动配置各个外部设备的驱动程序。为了兼容旧版本工程,SDK/Vitis里提供了多个IP版本的驱动程序。如果在SDK/Vitis的软件工程里查看代码,驱动程序都是正确的。 如果没有工程,也可以在安装目录下查看代码,比如目录Vitis\2

  • HEX(Xilinx MCS)文件格式详解2021-07-24 23:02:33

    文章目录 自己定义个文件格式HEX文件格式详解 HEX 文件是指以hex为后缀,采用Intel-HEX编码规则的文件,可以直接使用文本编辑工具打开。通常用来对微控制器或ROM进行编程,本质上都是对存储器编程,其中包含了每个地址对应的数据。 Xilinx 用于程序固化的MCS文件虽然是以.mcs

  • ZYNQMP_xazu3eg_VxWorks7_U-BOOT启动项修改2021-07-19 13:59:30

    修改前 Xilinx Zynq MP First Stage Boot Loader Release 2018.3   Jan 11 2021  -  07:18:39 PMUFW:  v1.1 U-Boot 2018.01 (Jan 11 2021 - 07:17:28 +0000) Xilinx ZynqMP ZCU102 rev1.0 I2C:   ready DRAM:  4 GiB EL Level:       EL2 Chip ID:        zu3eg

  • Xilinx 7系列FPGA简介--选型参考2021-07-15 15:31:56

    Xilinx-7系列FPGA 主要包括:Spartan®-7、Artix®-7、Kintex®-7、Virtex®-7。其性能、密度、价格也随着系列的不同而提升。和前几代FPGA产品不同的是,7系列FPGA采用的是统一的28nm设计架构,客户在不同子系列的使用方式上是统一的,消除了不同子系列切换使用带来的不便。 Xilinx的

  • 基于Xilinx的Kintex-7系列XC7K325T的硬件加速卡2021-07-06 17:05:51

        产品型号: B-PCIE-K7F5XILINX的Kintex-7系列FPGA处理器   B-PCIE-K7F5是一款基于PCI Express总线架构的高性能FPGA算法加速卡,该板卡采用Xilinx的高性能28nm 7系列FPGA作为运算节点。Xilinx的28nm 7系列FPGA通过对资源、接口以及时钟的优化,在高性能计算(High Per

  • Ubantu系统中vivado安装及配置2021-07-03 20:29:47

    目录 1、安装Vivado: 2、Linux系统下安装Vivado成功导入license,但是view license status里又找不到license 3、ubuntu平台vivado无法识别NIC_ID而无法使用license的解决办法 4、运行Vivado又找不到FPGA 5、Ubantu桌面添加vivado快捷方式 1、安装Vivado:   1.在xilinx官网下载

  • Xilinx FPGA “打一拍”“打两拍”以及IOB含义2021-07-01 13:32:38

    本次总结主要是参考网上的说法,最近在接触到异步时钟同步的时候了解到利用“非阻塞赋值<=”进行时钟同步,碰到有人说“打一拍”“打两拍”可以降低亚稳态问题,听起来有点糊,所以总结一下: 一、 “打一拍”“打两拍”的含义 关于FPGA中“打一拍”的含义,我们可以理解为**把某个信号延迟了

  • 软件的选择2021-06-20 17:03:42

          从本篇博客开始,将正式开启FPGA的篇章。首先,全球最大的两家FPGA公司都在美国,分别是Xilinx(赛灵思)和Altera(阿尔特拉)。他们有各自的芯片和EDA工具,Xilinx(赛灵思)前期的软件是ISE,但现在已经停止更新,继而推出了vivado,现在的Xilinx已经被AMD收购。Altera(阿尔特拉)的软件前

  • Xilinx 8B10B转换2021-06-11 10:59:04

    Xilinx 官方提供了含有源码的8B10编解码。 还有详细文档介绍。 编码: https://www.xilinx.com/support/documentation/application_notes/xapp1122.pdf https://www.xilinx.com/member/forms/download/design-license.html?cid=113637&filename=xapp1122.zip   解码: https://www

  • PCIE_DMA实例五:基于XILINX XDMA的PCIE高速采集卡2021-06-07 15:59:24

    转载地址:https://www.cnblogs.com/yuzeren48/p/13755651.html 一:前言 这一年关于PCIE高速采集卡的业务量激增,究其原因,发现百度“xilinx pcie dma”,出来的都是本人的博客。前期的博文主要以教程为主,教大家如何理解PCIE协议以及如何正确使用PCIE相关的IP核,因为涉及到商业道德,本人

  • 全国产化 自主可控 Z7010核心板2021-06-07 14:05:14

        产品特点 ƒ 复旦微可扩展平台FMQL10S400,兼容Xilinx的Zynq -XC7Z010 ƒ 兼具软硬资源,同时具有较强的逻辑处理与实时信号处理能力 ƒ 超小尺寸,适合高速数据通讯,视频音频图像采集处理,高速数据采集,机器视觉,运动控制等方面 ƒ 可运行开源Linux以及国产定制系统,提供全部接口

  • XILINX公司的JESD204 IP核介绍(一) 概述2021-06-01 23:00:07

    XILINX公司的JESD204 IP核能够实现复杂的JESD204B协议,支持的速度范围为1Gbps~12.5Gbps。该IP核可以被配置成发送器或者接收器,不能配置成同时收发。目前该IP核仅支持vivado软件,不支持ISE,且仅支持xilinx公司的7系列及其以上系列的FPGA硬件。该IP核的主要特性包括以下几点: 全面支

  • 安装Vivado时遇到的问题及解决2021-05-19 11:35:48

    安装过程需要登陆Xilinx账号 UserID和password总是验证不通过   解决: 在个人的账号管理里更新信息,用邮箱和密码就能通过了。

  • 将xilinx官方bsp移植到自定义单板2021-05-15 11:58:36

    在文章如何将zcu106例程移植到自定义单板上,描述了如何在自定义单板上构建硬件平台、软件系统,添加配方文件,运行zcu例程。实现过程很复杂。zcu106单板的bsp能不能直接用在自定义单板上呢?下面是我做的一些尝试,大家可以参考。 zcu106单板使用zynqmpsoc 7ev器件,我手头的单板使用zy

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有