ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

【转】vivado18.3的安装 安装教程

2021-10-14 16:57:58  阅读:2920  来源: 互联网

标签:教程 License Vivado 点击 Xilinx Vivado18.3 安装 vivado18.3


原装性能 Xilinx下载器 赛灵思下载线 Platform Cable USB仿真器

Xilinx下载器 CPLD FPGA digilent usb JTAG SMT2 高速 赛灵思线

转自:Vivado18.3的安装 安装教程_Daniel_Banana的博客-CSDN博客

本文内容学习自【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——基础部分

1.Vivado18.3的下载

Vivado18.3是18年的最后一个版本,正常来讲每年的最后一个版本即为相对稳定的版本。

百度网盘:https://pan.baidu.com/s/1PiQO1BeLSZNFn_BrqanyFw  提取码:TWp5 

2.Vivado18.3的安装

Step1:

请先解压Xilinx_Vivado_SDK_2018.3_1207_2324.tar.zip

磁盘空间够可以直接解压到当前文件夹,安装完成之后再删掉即可。

Step2:

打开解压后的文件夹,找到安装文件。tip:打开文件夹后最下方的文件就是它。

xsetup.exe为Windows系统的安装文件。xsetup为Linux系统的安装文件。

默认大家都是Win10系统所以双击打开xsetup.exe。

Step3:

打开后我们进入到Vivado18.3安装界面

这里的提示窗口意思是提醒你现在最新的版本是19.2。我们要安装18.3所以直接点击Continue 提示框就没了。

然后再点击右下角的Next进入下一步。

Step4:

这里全部点上对勾

然后点击Next

Step5:

这里进入到安装版本选择。

一共有4个版本可供选择。Documentation Navigator (Standalone)

Vivado HL WebPCK:这个是功能最少的版本,也是不需要付费或者破解Lincense的版本。

Vivado HL Design Edition:这个已经是功能非常齐全的版本。没有特殊需要,这个版本足够用。

Vivado HL System Edition:这个比Design Edition多了一些其他的工具。(比如多了system generator for dsp 工具)。

Documentation Navigator (Standalone):这个是单独安装文档的选项,我们安装上面DE或者SE时都会有文档安装,所以不需要它。

因为可能会用的一些其他工具,我这里选择安装System Edition。没有特殊需求安装Design Edition足够。

选择之后点击Next。

Step6:

这个界面是安装组件选择界面。

1.Design Tool 设计工具

1.1 Vivado Design Suite 设计套件:这个是用来开发FPGA的。

1.2 Software Development Kit (SDK):这个是用来软件开发的,也就是对ARM核开发的。因为我们用的是Zynq-7100,Zynq-7000系列采用的都是是ARM Cotex-A9处理器所以,这里只选择A9即可。

2.Devices 设备

2.1 Production Devices:这里只选择Zynq-7000即可

2.2 Engineering Sample Devices:这是Xilinx官方提供的工程模板,没啥用不安装。

3.Installation Options 安装选项

3.1 Install Cable Driver:这是下载程序用的驱动,必须安装。

3.2 Acquire or Manage a License Key 获得或者配置一个Lincense:安装完成之后会蹦出来让你配置Lincense的界面,所以选上。

3.3 Enable WebTalk for....:这两个都是向Xilinx官方发送用户反馈,没啥用取消掉。

剩下没有提到的2个就是DE和SE的区别了,DE中都是没有的。

ok,该取消的取消掉之后点击Next进入下一步。

Step7:

这个是选择安装路径和快捷方式等的界面。

这里要注意安装路径中不能有中文。右边这些就是一些快捷方式的选择,我们都选上。

点击Next,进入下一步。

Step8:

这个是对之前安装的所有选择的汇总,提示你确认一遍。

确认完成后点击Install。

Step9:

这是软件安装界面,如果有杀毒软件或者系统提示,点击允许即可。然后就漫长的等待。

Step10:

二三十分钟之后安装完成。

点击确定即可。


一点小插曲

因为我安装的是SE,所以System Generator需要配置Maltab。Vivado18.3 SE 仅支持Mtlab2017a 2017b 2018a,不支持我的2019a。按照网上的一个方法也没能配置成功2019a    方法连接:vivado安装System Generator不支持新版Matlab怎么办?

Matlab的配置方法就是,点开开始菜单里Xilinx Design Tools里的System Generator 2018.3 MATLAB Configurator。

点击Find MATLAB 然后选择你的MATLAB安装目录即可。


2.Vivado18.3的注册

因为我们刚才选择了Acquire or Manage a License Key所以会自动弹出这个Lincens配置窗口。

如果不小心关掉了这个界面,打开Vivado18.3 右上角Help目录下的Manage License即可。

Step1:

解压刚才下载的Lincense压缩包。解压出来的License文件。推荐把它放到刚才Vivado的安装目录里,方便以后用。

Step2:

点击Load Lincese里的Copy License,将我们刚才解压出来的License导入。

提示License导入成功,点击确定。

Step3:

点击View License Status,即可查看License的许可状态。

OK,到这里Vivado的安装和注册都已经完成。


温馨提示:

安装完成后,我们的电脑开机之后右下角会有这个Xilinx Information Center。这个是检查软件更新的,会开机自动启动很恶心。然后现在把这个“狗皮膏药”清理掉。

首先打开它,点击左上角View,再点Preference,弹出这个窗口。

在Check for updatas一栏选择Manual也就是手动检查更新。

然后再将下面的选项全部取消,取消各自提示和开机自动启动。

最后点OK,然后这个Xilinx Information Center就不会有任何提示,也不会开机提醒啦。

完美!!!

标签:教程,License,Vivado,点击,Xilinx,Vivado18.3,安装,vivado18.3
来源: https://blog.csdn.net/sinolover/article/details/120767740

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有