ICode9

精准搜索请尝试: 精确搜索
  • 看一刻钟视频,C/C++代码运行效率就提高了?2021-03-26 15:53:34

    看一刻钟视频,C/C++代码运行效率就提高了? stark FPGA开发圈 我们在实现嵌入式系统功能的同时毫无疑问还需要进行系统工程的优化,尤其是代码的优化,这样才能够充分发挥嵌入式处理器的性能,让代码执行的更快。通常我们会采用三种方式:一是提升处理器的时钟速度,比如采用更高速度等级的器

  • 超强兼容! Xilinx Zynq 7045实现支持29种CMOS传感器的Denali-MC HDR2021-03-26 15:53:08

    超强兼容! Xilinx Zynq 7045实现支持29种CMOS传感器的Denali-MC HDR IP 清风流云 FPGA开发圈 背景 据了解,Pinnacle设计的Denali-MC IP目前已经可以在包括Xilinx的Zynq 7045 FPGA芯片在内的多款可编程芯片产品中实现,比如可以用在具有DSP和SoC+ DSP架构的产品中(包括TI和Qualcomm的相

  • 超强兼容! Xilinx Zynq 7045实现支持29种CMOS传感器的Denali-MC HDR2021-03-26 15:52:48

    超强兼容! Xilinx Zynq 7045实现支持29种CMOS传感器的Denali-MC HDR IP 清风流云 FPGA开发圈 背景 据了解,Pinnacle设计的Denali-MC IP目前已经可以在包括Xilinx的Zynq 7045 FPGA芯片在内的多款可编程芯片产品中实现,比如可以用在具有DSP和SoC+ DSP架构的产品中(包括TI和Qualcomm的相

  • 调试硬件BUG的神器——新型逻辑分析仪2021-03-26 15:52:32

    调试硬件BUG的神器——新型逻辑分析仪 蒙面侠客 FPGA开发圈 背景 电子产品开发过程中最常用的是示波器,但是随着微处理器如ARM、X86、MIPS等架构、GPU、深度学习处理器等芯片的发展,以及智能硬件如雨后春笋般的成长,硬件出现的各种逻辑类bug是经常遇到的,当SOC(片上系统)的各种接口如SPI

  • Xilinx FPGA在基因组测序中大显身手!2021-03-26 14:56:40

    Xilinx FPGA在基因组测序中大显身手! sleibso FPGA开发圈 作者:sleibso ,编译:蒙面侠客 引言 PrecisionFDA平台是基因组信息学社区和共享数据平台,这是一个为研究人员准备的开源、基于云的工具,它将为下一代测序诊断提供依据,并且为所有开发者提供统一的、可共享的在线基因组信息数据库,使

  • 频谱分析仪中的 Spartan-6 FPGA2021-03-26 14:56:27

    频谱分析仪中的 Spartan-6 FPGA 蒙面侠客 FPGA开发圈 频谱分析仪是一种测试测量设备,主要用于射频和微波信号的频域分析,包括测量信号的功率,频率,失真等。它的性能主要是从实时带宽,动态范围,灵敏度和功率测量准确度等四个方面来评价。那么这么高的性能需要什么样的电路才能完成呢?我们

  • Arty家族再添新成员:基于Xilinx Spartan-7 FPGA的Arty S7开发板2021-03-26 14:51:38

    Arty家族再添新成员:基于Xilinx Spartan-7 FPGA的Arty S7开发板 清风流云 FPGA开发圈 背景 时间大概是去年十月份左右吧,美国Digilent公司就曾推出过一款专门面向创客与兴趣爱好者的Zynq-7020开发板——Arty Z7。据了解,Arty系列是由Xilinx与DIGILENT携手联合推出的专门迎合全球创

  • 深度学习FPGA开发方式2021-03-16 12:01:02

      https://blog.csdn.net/weixin_35729512/article/details/79763952 FPGA深度学习的方向概述       传统的CNN(Tensorflow、caffe)是在GPU、CPU上面进行的,但因为其功耗高、散热不好、价格昂贵。但是在单纯的FPGA这类芯片上进行深度学习类的算法,往往开发难度大,开发周期漫长

  • Vivado远程编译并下载程序到本地xilinx开发板2021-03-13 18:30:36

    Vivado远程编译并下载程序到本地xilinx开发板 关键词: vivado    远程烧写    frp    FPGA    xilinx    云服务器 需求分析:   项目需要,最近需要把FPGA程序从altera移植到xilinx平台,开发环境要从quartus ii换到vivado。现在有条件,   1.寝室有一台安装了vivado20

  • 使用 Xilinx Documentation Navigator 查看官方文档2021-03-05 21:01:35

    zynq开发时在block design界面希望简单了解一下GPIO IP使用,双击编辑IP,点击Documentation便可进入 Xilinx Documentation Navigator查看界面,下载文档了解GPIO最基本使用方法 Xilinx Documentation Navigator 简称docnav,在搜索栏直接输入docnav便可以打开 打开官网链接可

  • Vitis指南 | Xilinx Vitis 系列(三)2021-02-11 21:01:05

    Vitis指南 | Xilinx Vitis 系列(三) 大侠好,欢迎来到“艮林子”专栏,本次为艮林子首次和大侠见面,新春佳节之际,略备薄礼,不成敬意,给大侠带来“Xilinx Vitis 系列连载”,给大侠提供参考学习的资料,如有不足之处,还请多多指教。 由于内容过多,首篇给大侠列出目录,后续有时间分篇连载,今天带来

  • Xilinx HLS流程步骤总结2021-02-08 13:32:18

    第一次写文,总结一下一年多以来在HLS写IP核的流程,太多坑,太多细节需要注意,软件本身也有很多BUG,然而教学视频并不会讲,都是自己把坑一个个填上。。。CSDN上也有比较理论的流程教学,这里是偏实际上手操作上的流程分享。 目前使用的是Vivado 2019.1和2019.2版本,编程语言是C,使用目的

  • 零基础学FPGA(二):FPGA生产厂家及产品(呕心沥血,小白如何选择板卡)2021-02-07 19:30:21

    文章目录 前言一、国内外知名企业1.1、国外四巨头1.1.1、 Altera(阿尔特拉)1.1.2、Xilinx(赛灵思)1.1.3 Lattice(莱迪思)1.1.4 Microsemi(美高森美) 1.2、国内八金刚1.2.1 上海安路科技:28nm批量供应1.2.2 深圳紫光同创:高性能FPGA已量产商用1.2.3 广东高云:28nm中高密度FPGA1.2.4

  • FPGA(4)Xilinx Ultra96_v2 AXI42021-02-07 18:58:47

    Ultra96_v2开发板之使用教程-PYNQ 时间: 2021-2-7 更新时间:2021-2-7 作者:Mint 在HLS代码中编写的函数通过Directives约束成AXI4的接口,在Vivado中调用。实现AXI4的IP设计。 代码案例 #include "sigmoid.h" #include <cmath> void sigmoid(float Feature[4][4][1],float ans_

  • Xilinx Kintex-7 FPGA视频案例|HDMI_capture_display案例2021-02-01 11:03:11

    Xilinx Kintex-7 FPGA视频案例|HDMI_capture_display案例 本文主要介绍基于FPGA+ MicroBlaze裸机的视频开发案例的使用说明,适用开发环境:Windows 7/10 64bit、Xilinx Vivado 2017.4、Xilinx SDK 2017.4。本章节,主要讲解:视频开发案例:HDMI视频输入、HDMI视频输出案例。主要基于创

  • Xilinx FPGA RAM2021-01-30 23:59:29

    作者 QQ群:852283276 微信:arm80x86 微信公众号:青儿创客基地 B站:主页 https://space.bilibili.com/208826118 参考 FPGA block RAM和distributed RAM区别 【FPGA】关于Xilinx芯片中Block RAM和Distributed RAM 的区别 浅谈XILINX FPGA CLB单元 汇总 (CLB、LUT、存储单元、Dist

  • 对Xilinx FPGA的IDELAY的理解2021-01-25 02:08:24

    为了调整项目中的时钟与数据的时序关系,常常需要用到IDELAY这样的PRIMITIVES,如何更好的来开发使用IDELAY,到底它是如何工作的,它和IDELAYCTRL又是如何连动的呢,这里做了一点探讨,本文以V7的IDELAY2和IDELAYCTRL来整理,对基本的东西没有展开,如果使用过这类SelectIO Primitives的,希望有所

  • Ubuntu编译Xilinx的u-boot2021-01-22 15:01:29

    博主这里的是Ubuntu20.04LTS+Vivado2017.4+ZedBoard 注意:本文使用的环境变量导入方法是临时的,只要退出当前终端或者使用其他终端就会失效,出现异常问题,请随时export环境变量! Setup0 必要的库 sudo apt install lib32z1 ssl libssl-dev libncurses5 build-essential openjdk-11-jd

  • Ubuntu安装Vivado2021-01-22 14:04:03

    Step1 安装必要的库文件: sudo apt install libncurses5 build-essential openjdk-11-jdk Step2 进入vivado的安装文件夹 sudo chmod a+x ./xsetup ./xsetup 出现GUI界面,显示Linux版本可能不适合可以忽略过去,接下来按照提示一步一步安装即可。 部分电脑上可能因为系统缺少所需要

  • QuestaSim使用Xilinx库2021-01-21 12:59:20

    安装QuestaSim

  • 简谈 Intel altera 和 Xilinx 的 FPGA 区别2021-01-15 20:02:07

    简谈 Intel altera 和 Xilinx 的 FPGA 区别 今天和大侠简单聊一聊 Intel altera 和 Xilinx 的 FPGA 区别,话不多说,上货。 最近有很多人在问,学习FPGA到底是选择 Intel altera 的还是 xilinx 的呢,于是我就苦口婆心的说了一大堆,中心思想大概就是,学习FPGA一定要学习 FPGA 的设计思想

  • Xilinx zynq开发,Linux系统下交叉编译nanomsg库2021-01-12 12:31:46

    背景:         为了优化socket TCP的传输速率,使代码更具有移植性,遂产生使用nanomsg代替socket TCP的想法。         nanomsg是一个socket library,它提供了几种常见的通信模式,网络层快速、可扩展并且能易于使用。用C实现,且适用于广泛的操作系统,几乎不需要依赖。这里

  • 利用Xilinx的cordic ip做开方运算2021-01-08 19:03:32

    Xilinx的 CODRIC IP利用的coordic算法,可以求三角函数和开方运算。   进行开方运算时,需要注意输入和输出位数的问题: 1)输入为整数的情况:(如2321)   INPUT :  24bit 整数   OUTPUT :  24bit开方后,整数值占输入总位数的一半加1    等于 13bit,即[23:11] ; 小数值占 11bit,即 [

  • Xilinx原语归纳总结2021-01-07 13:31:58

    目录 写在前面的话1.OBUFDS 写在前面的话 最近在写hdmi的驱动中,通过看源代码发现了几处需要用到原语的地方。故下载了手册进行了解。 参考手册为ug768《7 series_hdl》,为了便于查询,会总结一下日常中遇到的原语及相关用法。 其实可以直接查原手册,我起到的作用只是一个搬

  • Xilinx Zynq搭建Vivado工程2021-01-01 22:59:26

    作者 QQ群:852283276 微信:arm80x86 微信公众号:青儿创客基地 B站:主页 https://space.bilibili.com/208826118 Vivado https://china.xilinx.com/support/answers/53051.html 从零开始,搭建zynq-7000的PS硬件平台–DDR3接口集成与配置 颗粒的速度等级为多少,MT41K256M16TW, DDR时

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有