ICode9

精准搜索请尝试: 精确搜索
  • 【数字设计】华为海思_笔试面试题目分享2021-12-06 13:30:58

    笔试 华为海思数字芯片的知识点覆盖较全,考查形式而言,全部都是单选和不定项选择,具体题目如下 单选 怎么通过(parent?)访问父类成员 时钟门控技术可以降低静态功耗吗 同一个PLL产生的时钟一定是同步时钟吗 Moore和mealy差异 乒乓buffer的作用 什么会影响最高工作频率 violation允

  • 同步和异步FIFO2021-11-28 13:02:59

    FiFo外部是没有地址的,外部端口只负责读写,内部RAM有地址 FIFO可以在一边读,一边写,两边读写速度可不一样, RAM中的控件可以重复利用,某个数据位读走后,可再存入新的数据。 两边速度不同的情况下,RAM的空间和两边读写速度满足一定的公式就可随意读写 FIFO中的RAM设计 module dp_ram

  • FPGA零基础学习:IP CORE 之 FIFO 设计- ISE 操作工具(下)2021-11-26 15:01:48

    本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。 系统性的掌握技术开发以及相关要求,对个人就业以及职业发展

  • 页面置换算法(FIFO,LRU)2021-11-26 09:32:37

    目录 FLFO(内存块3)  FLFO(内存块4) LRU(内存块4) 主函数 FLFO(内存块3)  FLFO(内存块4) 算法实现(java实现)(复制时注意添加主函数) static void first_in_first_out(int amount, int[] page, int memory_block) { int[][] memory = new int[memory_block][amount]; Str

  • 快速入门AXI4总线(2)----XILINX AXI4-Stream接口IP源码仿真分析2021-11-22 21:00:13

    1、带AXIS接口的自定义IP         Vivado在打包IP核的时候提供了AXI4-Stream的接口,接下来分别例化两个IP,一个MASTER,一个SLAVE。将两个接口直接相连,观察Vivado提供的例程,来观察AXI4-Stream的具体实现过程。手把手教你打包一个自己的Vivado IP核https://blog.csdn.net/wuzhika

  • LCD背光调节实验2021-11-19 22:03:01

    目录 LCD 背光调节简介硬件原理分析实验程序编写编译下载验证编写Makefile 和链接脚本编译下载 不管是使用显示器还是手机,其屏幕背光都是可以调节的,通过调节背光就可以控制屏幕的亮度。在户外阳光强烈的时候可以通过调高背光来看清屏幕,在光线比较暗的地方可以调低背光,防

  • GoLang设计模式15 - 策略模式2021-11-14 21:02:16

    策略模式是一种行为型设计模式。通过策略模式,可以在运行时修改一个对象的行为。 接下来仍然是通过例子来了解策略模式。比如说内存缓存,这是我们在开发中经常使用的东西,大家应该都有一定的了解,接下来就用内存缓存来说明下如何使用策略模式。 向内存里存东西对于GoLang来说算是比较

  • FWFT FIFO的读取2021-11-12 18:58:21

    FWFT:First Word Fall Through的缩写,是Xilinx的说法,Altera对应的概念是Show-ahead synchronous(SASO)。即数据在rdreq有效之前就有效。 需要注意的是当rdreq连续时,容易多读一个数据,所以读时需要对将空(almost_empty)进行判读,在FIFO BFM的代码读任务里有如下代码: if(fifo_rden==1'

  • STM32串口DMA2021-11-05 15:32:59

    一、什么是DMA 1.1 基本概念 DMA(Direct Memory Access,直接存储器访问) 是所有现代电脑的重要特色,它允许不同速度的硬件装置来沟通,而不需要依赖于 CPU 的大量中断负载。否则,CPU 需要从来源把每一片段的资料复制到暂存器,然后把它们再次写回到新的地方。在这个时间中,CPU 对于其他

  • 磁盘I/O流程的场景分类和linux系统中的I/O调度策略2021-10-31 22:07:05

    文章目录 一、磁盘I/O的场景应用二、发起I/O请求的步骤可以表述为如下的内容(以最长链路为例)1)写操作(异步)2)读操作(同步)3)I/O请求处理 三、linux系统中的I/O调度策略1)NOOP2)CFQ3)DEADLINE4)ANTICIPATORY5)文件层面对kafka的影响 一、磁盘I/O的场景应用 一般磁盘I/O的场景有

  • 关于DSP与DSP之间通过SCI异步通讯2021-10-30 23:03:32

            最近在调试TMS320F2812的SCI异步串口通讯时,一块DSP用于传输数据,另一块DSP用于接收数据,为节省DSP开销,采用FIFO中断的方式进行数据传输,波特率设置为115200bps,每包数据传输8个字节,实际传输过程中会出现发送FIFO队列的首个数据字buffer【0】对应的不是接收FIFO队列的

  • 异步FIFO设计2021-10-13 10:31:04

    先贴张图 异步FIFO要注意的几点: 一般来说都是写的快读的慢,这样就会涉及到最小fifo深度计算的问题没有写地址和读地址,仅仅使用写使能和读使能来控制跨时钟域使用格雷码和两步D触发器进行同步读空写满信号设置 module asyn_fifo( input wire clk_w,//50mhz input wire cl

  • 异步FIFO2021-10-12 11:32:24

            FIFO 包括同步 FIFO 和异步 FIFO 两种,同步 FIFO 有一个时钟信号,读和写逻辑全部使用这一个时钟信号,异步 FIFO 有两个时钟信号,读和写逻辑用的各种的读写时钟,本节说的全部是异步 FIFO。         异步 FIFO 有两个时钟信号,读和写接口分别采用不同时钟,这两个

  • 8 与aurora 64B66B 发送端 AXI4-Stream接口 相连的FIFO设置2021-10-07 22:03:20

    目录 1 背景1.1 关于Aurora 64b66b IP核1.2 关于FIFO的读操作模式1.3 遇到的问题 2 与Aurora 64b66b IP核AXI4-S发送接口相连的FIFO的设计 1 背景 1.1 关于Aurora 64b66b IP核 在【PG074】1 简略学习Aurora 64B/66B IP核中2.3.3 Streaming接口章节学习了Aurora 64b66b IP

  • S5PV210学习笔记——(4) 串口2021-10-04 18:34:59

    UART:异步、串行、查分;USART:同步 电平信号:        RS232:-3~-15v为1,+3~+15为0;抗干扰距离远        TTL:+5v为1,0v为0,距离近不抗干扰,多用于电路内部或15m以内 串口中有个Transmit Buffer 寄存器,这个一会儿和FIFO一起说;还有个波特率发生器,它由时钟源为基础而产生。流控是

  • 队列的定义和特点2021-10-03 15:03:28

    队列(queue)是一种先进先出(First In First Out --- FIFO)的线性表。在表的一端插入(表尾),在另一端(表头)删除。 队列常用表示:     加入一个新元素时,只能在队尾加入, 删除一个元素时,只能在队头删除    队列的相关概念 定义:只能在表的一端进行插入运算,在表的另一端进行删除运算的线性

  • 基于 FPGA 的高级数字电路设计(7)RAM 与 FIFO 的设计2021-10-03 12:30:39

    摘要:单口 RAM + 同步 FIFO + 异步 FIFO  单口RAM module BRAM_PORTA( input clka, input ena, input wea, input [3:0] addra, input [15:0] dina, output reg [15:0] douta ); reg [15:0] mem [15:0]; always @(posedge clka)begin if(ena)begin if(wea)begi

  • 大数据学习-Hadoop-HDFS2021-10-02 22:32:03

    Yarn 调度器 1. FIFO 2. 容量调度器 3. 公平调度器

  • FIFO IP设计说明2021-10-02 11:58:50

    FIFO IP设计说明 FIFO的3作用 实现不同时域的切换。 对数据进行缓存,写入是慢时钟域的零散数据,读出是快时钟域的成块数据。 数据位宽的转换 先选择IP Catalog选项,然后搜索FIFO Generator,点击就行。 在接口类型(Interface Type)栏,选择Native单选按钮 在FIFO实现(FIFO Impl

  • 野火FPGA征途Pro学习笔记(fifo_sum)2021-09-30 19:04:36

    FIFO sum 原理 利用fifo进行串行数据流的行计算。 首先需要注意的是数据是从一根数据线上传输过来的。 这里我们以3行数据相加的情况举例; 3行数据相加,需要用到2个FIFO;这里给出规律,如果需要一次计算X行数据的和,则需要用到X-1个FIFO 首先将前X-1行的数据进行FIFO保存,之后第X行

  • c++ 高并发队列的实现2021-09-11 18:34:02

    JAVA如何进行CAS 讲到java的队列时,讲到java中的CAS操作 回顾下java中的cas,主要采用compareAndSet方法,如AtomicReference中所使用的: AtomicRefrence.java /** * Atomically sets the value to the given updated value * if the current value {@code ==} the expec

  • net19 双fifo流水线2021-09-10 23:01:56

    数据处理领域应用十分广泛 后面项目用到  3×3矩阵运算  所以引入双 fifo  将来可能用到 3 4或更多的fifo运算方法 fifo在数据处理领域十分广泛  因为fifo 具备数据缓存的能力  还可以进行数据位宽的变换 等等运用的方法 这节课针对双fifo的应用来引出fifo的操作时序,使

  • Software FIFO Buffer for UART Communication2021-09-05 15:02:49

    sw_fifo.c //////////////////////////////////////////////////////////////////////////////////////// /* enter necessary header files for proper interrupt vector and UART/USART visibility */ //////////////////////////////////////////////////////////////////

  • 跨时钟域处理之结绳法2021-09-03 16:34:25

    结绳法 异步时钟域 在实际的设计中经常碰到跨时钟域的信号,异步时钟分为两种情况 两个不同频率的时钟进行数据交换。两个频率相同但相位不同的时钟域进行数据交换。 异步时钟的处理方法很多,博主之前的博客可以参考脉冲同步器,异步FIFO设计 跨时钟域可能出现的问题以及危害 亚稳

  • Linux中管道、命名管道2021-08-31 13:01:16

    管道 概念 管道(pipe)又称无名管道。 无名管道是一种特殊类型的文件,在应用层体现为两个打开的文件描述符。 特点 *管道是最古老的UNIX IPC方式,其特点是: 1、半双工,数据在同一时刻只能在一个方向上流动。 2、数据只能从管道的一端写入,从另一端读出。 3、写入管道中的数据遵循先入

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有