ICode9

精准搜索请尝试: 精确搜索
  • 基于System verilog的同步FIFO实现(二)2022-02-22 12:01:15

    上一篇博客基于System verilog的同步FIFO实现(一) 通过设置一个计数器,来判断FIFO的空或满状态,该方法由于多设置了一个计数器,因此会产生额外的资源,当fifo比较大时,会降低fifo最终可以达到的速度。本文则通过另一种方式生成full与empty信号:比较读指针和写指针。 判断方法: 1.

  • STM32 HAL 库实现乒乓缓存加空闲中断的串口 DMA 收发机制,轻松跑上 2M 波特率2022-02-19 23:34:32

    前言 直接储存器访问(Direct Memory Access,DMA),允许一些设备独立地访问数据,而不需要经过 CPU 介入处理。因此在访问大量数据时,使用 DMA 可以节约可观的 CPU 处理时间。在 STM32 中一般的 DMA 传输方向:内存->内存、外设->内存、内存->外设。这里的外设可以是 UART、SPI 等数据收发设

  • 处理多个时钟2022-02-15 11:32:32

    处理多个时钟 3.2 多时钟域 时钟频率不同 时钟频率相同,但相位不同 3.3 多时钟域设计的难题 建立时间和保持时间的违背 亚稳态 3.4 多时钟设计的处理技术 相关信号命名时指明所属时钟域。 分块化设计 每个模块只在单个始终下工作 信号需要跨时钟域传输时,使用同步器模块。 同

  • FPGA之FIFO详解,读写位宽不同2022-02-09 22:35:14

          这篇博客里,通过两个练习来总结在FPGA设计中FIFO读写位宽不同的情况下,我们应该去如何设计时序逻辑,因为在现实工程中FIFO读写位宽不同也是经常出现的情况。 练习1          设计一个模块包含读写位宽是32bit、读写深度是64的异步时钟FIFO,其中输入数据信号din和输入

  • lis3dh对接rt-thread sensor框架2022-02-05 18:03:39

    RT-Thread的sensor框架 RT-Thread通过Sensor框架支持各种传感器,其中包括加速度传感器。使用sensor框架开发应用程序的好处就是同一套代码可以在不修改或小修改的情况下适配多种不同的传感器。很多时候还能白嫖sensor框架内已经支持的传感器驱动。 开发前应该首先阅读官方的介绍文

  • cache 概念、主存映射、替换算法、写策略2022-02-03 17:31:10

    cache 基于程序的局部性原理 突然想起之前字节面试时问过这个问题,当时是回答的按列不连续,但是忘记说cache的存在了,由于会将空间局部放进cache,所以实际上按列无法直接访问cache,故速度更慢每次被访问的主存块,一定会被立即调入cache cache与主存的映射 标记 标识cache中的每

  • 页面置换算法(FIFO、第二次机会、LRU)2022-01-30 23:32:25

    页面置换算法 文章目录 页面置换算法前言一、最近未使用页面置换算法二、先进先出页面置换算法三、第二次机会页面置换算法四、时钟页面置换算法四、最近最少使用页面置换算法四、最不常用算法总结 前言 当发生缺页中断时,操作系统必须在内存中选择一个页面将其换出内存

  • 【正点原子MP157连载】 第十五章 IP核之FIFO实验-摘自【正点原子】STM32MP1嵌入式Linux驱动开发指南V1.72022-01-22 16:34:08

    1)实验平台:正点原子STM32MP157开发板 2)购买链接:https://item.taobao.com/item.htm?&id=629270721801 3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-318813-1-1.html 4)正点原子官方B站:https://space.bilibili.com/394620890 5)正点原子STM32MP157技术交流群:6

  • WQ7033开发指南(基础篇)之1.2 烧录固件详解2022-01-19 18:35:43

    整个系列文章查看: ​​​​​​WQ7033开发指南汇总 目录 修改后缀名 解压固件文件包 app_evt.xml audmap_config.json buidl_info.txt  dbglog_table.txt dsp_tws_app.bin  fw_updater_core0.bin iomap_config.json kv_left.json​ kv_right.json​ memory_config.json sbl_c

  • 嵌入式应用之UART串口2022-01-13 19:30:25

    目录 一、串口的介绍 1、特性 2、通信 3、组成 二、串口中相关寄存器 1、发送和接收相关寄存器 2、中断相关寄存器 (1)U0IER (2)U0IIR 3、设置FIFO相关寄存器 4、线状态相关寄存器 (1)U0LCR (2)U0LSR 本文以LPC2000系列微控制器的UART作为介绍 一、串口的介绍 1、特性 具有16字节接收F

  • Flink Checkpoint 机制:如何保证 barrier 和数据之间不乱序?2022-01-08 20:33:06

    Flink Checkpoint 机制:如何保证 barrier 和数据之间不乱序? 1 前言 1.1 什么是 state? 要说 checkpoint,首先要从 state 聊起。之前有被问到对于 Flink state 的理解,state 的字面含义就是状态。所谓状态,它本身不难理解,简单的说,state 就是你在处理事件的时候需要保存的状态信息。

  • 15_嵌入式块RAM使用之FIFO2022-01-07 17:01:58

       

  • 大数据之-Hadoop3.x_Yarn_FIFO调度器---大数据之hadoop3.x工作笔记01442022-01-07 12:58:00

    我们说,当有请求来了以后,resourcemanager接收到请求以后,会生成一个task任务 然后把任务放到,任务队列中去,然后那么;任务队列的执行规则是什么呢? 这里有3个规则,一个是FIFO规则,一个是Fair Scheduler公平调度器, 一个是Capacity 调度器,容量调度器,这里 Apache hadoop3.1.3

  • 异步FIFO设计2021-12-31 02:05:52

    这篇文章是《Simulation and Synthesis Techniques for Asynchronous FIFO Designs》的一些总结。异步FIFO可以用于数据的跨时钟域传输,FIFO即First In First Out,先入先出。我的理解下FIFO就是一个暂存数据的memory Full & Empty 数据从IN端进入从OUT端读出并且遵循先入先出的原则

  • linux I/O内存访问2021-12-27 12:03:59

    先看一个在无操作系统的情况下,用C语言访问片上寄存器的范例,这是访问S3C2440UART1的FIFO控制寄存器的示例,先定义FIFO控制寄存器为UFCON1: #define UFCON1 (*(volatile unsigned *)Ox50004008) //*UART 1 FIFO控制寄存器 给UFCON1赋值: UFCON1 = Ox00; //禁止FIFO功能 这个示

  • GD32450i-EVAL学习笔记 19 - USB FS 数据传输2021-12-26 16:02:46

    目录 1. SETUP数据包的获取 2. OUT数据处理 3. IN 数据传输 4. 读FIFO 5. 写FIFO 1. SETUP数据包的获取 SETUP数据包的获取发生在接收数据FIFO非空中断 下图是接收数据FIFO非空中断的处理流程图: 在接收SETUP数据包前必须先设置好USBFS_DOEP0LEN中的STPCNT的大小,控制端点每收

  • 基于FIFO实验仿真测试 输入数据是8位宽,FIFO位宽是16位,练习思路2021-12-25 23:34:37

    设计要求:上游模块产生的数据是8位宽, FIFO输入输出而是16位宽的,那么就需要将上游产生的两个8bit数据进行拼接,凑成一个完整的16bit数据,然后再一次写入fifo 上游模块产生两个信号    datat_in[7:0] 和 data_in_vld 给FIFO,在控制FIFO模块中,将利用这两个信号通过一定方式转换成写入F

  • 在linux文本流中,如何使用管道连接多个进程?2021-12-25 17:02:23

    我们在Linux信号基础中已经说明,信号可以看作一种粗糙的进程间通信(IPC, interprocess communication)的方式,用以向进程封闭的内存空间传递信息。为了让进程间传递更多的信息量,我们需要其他的进程间通信方式。这些进程间通信方式可以分为两种: 管道(PIPE)机制。我们提到可以使用

  • 实验2:基于FPGA + adc7928 + FIFO 缓冲8通道数据 + 通过串口打印到PC机 (串口采样传统的8位模式)2021-12-25 16:33:12

    RTL视图:   此次修改了串口模块,和FIFO控制模块, 串口模块:以后遇到FIFO位宽不管是8位 或 16位 或 32位 ,串口模块都可以通用,而不需要根据FIFO的位宽再做相应更改。输入的data_in位宽定义的是8位, 上个“实验1”中data_in是定义16位宽,要连续发送两个8位数据,可参考上一篇文章。 FIFO模

  • 基于FPGA + adc7928 + FIFO 缓冲8通道数据 + 通过串口打印到PC机2021-12-22 20:35:13

    RTL视图    设计目标: 通过FPGA控制,轮流切换通道进行ADC读数据,并将数据暂存到FIFO中,同时读FIFO中的数据,通过串口打印到PC机端。   FIFO采用的是16位宽的,深度用的256个字节

  • timegen学习记录2021-12-18 19:02:52

    timegen学习记录 最近重新从零开始学习FPGA,把基础打扎实。用visio画波形图,感觉这画波形图的效率不高、使用不够方便。自己是用过timegen软件,感觉画得比较快,方便时序对齐。但指导老师说,timegen画的图不方便导出到文档、不方便后期修改,交作业也都是用visio的格式。突然在csdn

  • linux shell 多线程执行程序2021-12-16 17:03:50

    Shell中并没有真正意义的多线程,要实现多线程可以启动多个后端进程,最大程度利用cpu性能。 直接看代码示例吧。 (1) 顺序执行的代码 #!/bin/bash date for i in `seq 1 5` do { echo "sleep 5" sleep 5 } done date 输出: Sat Nov 19 09:21:51 CST 2016 sleep 5 sleep 5 sl

  • xilinx fifo读写仿真验证2021-12-15 16:01:47

    当fifo写入200个数停止写入,连续读出300个数,当大于200时,读出fifo最后写入的一个数。 `timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2021/12/15 14:58:57 // Design Name: // Module Name: tb_fifo // Project Name: // Target Devices: // Too

  • 日常记录(9)异步FIFO相关2021-12-13 14:31:38

    格雷码转换 格雷码属于镜像码 always @(wptr or winc) begin: Gray_inc integer i; for(i=0; i<=ADDRSIZE; i=i+1) wbin[i]= ^ (wptr>>i); //grey to binary if (!wfull) wbnext = wbin+winc; //FIFO else wbnext = wbin; wgnext=(wbnext>

  • 异步FIFO简单总结2021-12-08 23:00:07

    | ## 异步FIFO简介 || ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有