ICode9

精准搜索请尝试: 精确搜索
  • PAN3031双工无线通信 低功耗远距离无线收发芯片 使用注意事项2022-08-23 12:04:49

    PAN3031 是一款采用 ChirpIoTTM 调制解调技术的低功耗远距离无线收发芯片,支持半双工无线通信,工作频段为 370~590 MHz 和 740~1180MHz,该芯片具有高抗干扰性、高灵敏度、低功耗和超远传输距离等特性。最高具有-129dBm 的灵敏度,22dBm 的最大输出功率,产生业界领先的链路预算,使其成为远

  • FreeSWITCH学习笔记12 - 高级功能与配置实例2022-08-11 11:34:26

    12.1、使用mod_fifo实现简单呼叫队列 12.1.1、呼叫停泊与取回             12.1.2、配置坐席 1、静态坐席的配置      2、动态坐席的配置       3、offhook坐席         12.1.3、fifo  

  • IC笔试题2022-08-07 00:05:30

    单选题(每题2分 共20题) 1.状态机的编码风格包括一段式、两段式和三段式,下列描述正确的是( ) A一段式寄存器输出,易产生毛刺,不利于时序约束; B二段式组合逻辑输出,不产生毛刺,有利于时序约束; C三段式寄存器输出,不产生毛刺,有利于时序约束; D所有描述风格都是寄存器输出,易产生毛刺,有利于时序

  • 异步fifo读写频率差的太大问题2022-07-31 12:33:27

    就是类似于常见的结构中,在internal register 和 memory之间加Cache一样。设计的目标是,就FIFO本身而言,必须保证其current_state是 能被正确识别的, 所以为了增加FIFO工作的稳定度和流畅性,不会让两个速度差别太大的domain直接连在FIFO两端,而是通过内部的一个“FIFO Cache”。当然从使

  • linux 4大IO调度算法2022-07-25 09:02:53

    四种调度器:  1、Noop IO scheduler (FIFO算法,电梯梯度算法)         会将请求与上个请求看能否合并处理, 看能否进行排序,如果是前面排序所需要的时间跟当前时间过长,则不进行排序处理  2、CFQ IO scheduler(完全公平算法)        会对每个进程的分配 一个请求队列和时间

  • FFmpeg学习:常用api——缓冲区队列相关2022-07-20 11:36:14

    时间戳相关API 时间基转换相关api 缓冲区队列相关api 视频(AVFifoBuffer:先进先出的循环缓冲) 1、结构体定义 typedef struct AVFifoBuffer { uint8_t *buffer; uint8_t *rptr, *wptr, *end; uint32_t rndx, wndx; } AVFifoBuffer; 2、申请fifo /* *分配单个size大小的f

  • 【FPGA学习笔记】VL45 异步FIFO2022-07-15 20:03:33

    请根据题目中给出的双口RAM代码和接口描述,实现异步FIFO,要求FIFO位宽和深度参数化可配置。   电路的接口如下图所示。     双口RAM端口说明: 端口名 I/O 描述 wclk input 写数据时钟 wenc input 写使能 waddr input 写地址 wdata inpu

  • fpga中的存储器2022-07-12 18:31:30

    fpga中的存储器三种:RAM,ROM,FIFO。 RAM和ROM已经比较熟悉了,记录一下FIFO。 FIFO:first in first out ,顺序存取,先入先出。是一种数据缓存器,用来作不同接口的缓冲地,其应用场景有:   ① 不同时钟域:数据产生速率 与 数据使用速率 不相等,这个时候用FIFO来缓冲 ,数据量够了再发送。  

  • 【Java面试】请谈谈AQS是怎么回事儿?2022-07-12 11:01:54

    Hi,大家好,我是Mic。 今年的市场环境是真的很难。很多工作一年的人,面试的难度相当于一个4年经验的人。 越是这样,我们越应该强大自己,才能在逆境中获得更多的机会。 今天一个一年经验的粉丝,被问到“AQS的实现原理”,来找我求助。 下面看看高手对于这个问题的回答。 喜欢我作品的小伙

  • 串口fifo模式学习笔记2022-06-14 21:02:17

    本文结合实际工作项目用到的串口外设来总结一下串口fifo模式的使用 背景:博主使用的是一款国产的32位MCU,基于厂商提供的串口demo例程做开发,在此过程中学习demo例程所写的中断收发机制 接下来我们看串口的驱动配置代码 1 UART_HandleTypeDef UART3_Handle; 2 3 void Uart_Init3

  • 日常记录(94)fifo深度、CDC、寄存器锁存器区别2022-06-11 13:33:50

    fifo深度计算 fifo深度的计算只能是大致考虑,如果说burst传输中,两个时钟的开始边沿不一致,或者是背靠背传输过程中,读数据也存在最差的情况(而非计算过程中使用的平均速度),则fifo深度可能不太准确吧? https://www.cnblogs.com/shadow-fish/p/13447277.html https://mp.weixin.qq.com/s/j

  • 串行通讯2022-06-01 19:34:19

    一 uart,spi、usb等串行通讯过程中因为大小端通讯,存储和字节buffer的处理:核心就是数据类型强制转换。分享个简单的串口FIFO实现数据结构体收发处理案例

  • linux系统进程间通信方式(三):管道2022-05-24 03:31:07

    进程间通信方式之管道 管道通常指无名管道(PIPE)或有名管道(FIFO),但实际上套接字也都是管道。 接口 PIPE和FIFO的相关接口如下表格 功能 创建无名管道:PIPE 说明 头文件 #include <unistd.h> 原型 int pipe(int pipefd[2]); 参数 pipefd 一个至少具有2个int型数据的数组,用

  • FIFO对比Backfill调度策略2022-05-14 11:03:16

             

  • 06 | linux下进程通信(管道)2022-04-30 18:31:23

    进程间通信:管道 在两个进程间发送消息的非常简单的方法:使用信号。我们创建通知事件,通过它引起响应,但传送的信息只限于一个信号值。 这里介绍管道,通过它进程之间可以交换更加有用的数据。 popen与pcolse 最简单的在两个程序之间传递数据的方法就是使用popen和pclose函数 #include <

  • C语言实现OPT、FIFO及LRU等页面置换算法2022-04-16 19:00:19

    假设有10个页面,n个页框。页面的访问顺序为0, 9, 8, 4, 4, 3, 6, 5, 1, 5, 0, 2, 1, 1, 1, 1, 8, 8, 5, 3, 9, 8, 9, 9, 6, 1, 8, 4, 6, 4, 3, 7, 1, 3, 2, 9, 8, 6, 2, 9, 2, 7, 2, 7, 8, 4, 2, 3, 0, 1, 9, 4, 7, 1, 5, 9, 1, 7, 3, 4, 3, 7, 1, 0, 3, 5, 9, 9, 4, 9, 6, 1, 7,

  • 用FIFO实现乒乓操作,有错误丢失2022-04-11 22:00:25

    1、调用普通FIFOip核。结果,丢失数据+错误数据(顺序不对,姑且算错误把) 2、使用FWFT的FIFO核加上使用prog_full和prog_empty(不使用几乎空几乎满的话,会丢失三个数据)。结果,丢失两个数据。 3、FWFT+prog,以及case语句的always块不用clk作为触发条件 结果 可能也是因为当时仿真为了

  • 循环队列FIFO2022-03-21 20:02:45

    这里的 FIFO 是先入先出的意思,即谁先进入队列,谁先出去。比如我们需要串口打印数据,当使用缓存将该数据保存的时候,在输出数据时必然是先进入的数据先出去,那么该如何实现这种机制呢? 首先就是建立一个缓存空间,这里假设为 7 个字节空间进行说明。       缓存一开始没有数据,并且用

  • 同步FIFO的设计2022-03-20 21:02:36

    数字IC设计学习笔记 同步FIFO的设计 1. 同步FIFO的设计 同步FIFO的设计 问题描述 设计同步FIFO,宽度8bit,深度512,ADDR位宽:2^9=512 Verilog代码 方法1: 基于RAM设计, 用长度(fifo深度)计数器fcnt,执行一次写操作,fcnt加1,执行一次读操作,fcnt减1. 写满:fcnt=fifo deapth; 空:fcnt=0&& !

  • 基于STM32+OV7670的图像采集系统(基础篇:ov7670介绍)2022-03-19 12:02:05

    由于这部分内容过多,分开介绍,本文章主要是介绍ov7670模块。 一、简单认识 先来看一下外观: OV7670,图像传感器,体积小,工作电压低,提供单片VGA摄像头和影像处理器的所有功能。通过SCCB总线控制,可以输入整帧、子采样、取窗口等方式的各种分辨率8位影像数据。 其他介绍比较繁琐,这里就不

  • 异步FIFO设计2022-03-08 16:02:53

    引言 异步FIFO被广泛使用在数字电路中,不论是作为数据buffer还是数据跨时钟域处理、不同位宽数据的缓冲。 本人以往的使用经验都是直接调用IP。但是异步FIFO的原理也是应该熟悉的,这样一种经典的电路设计十分巧妙,如何做到安全缓冲并有效避免了数据上溢或下溢? 本文参考了CE Cummings

  • 手撕代码——同步FIFO2022-03-07 15:04:21

    手撕代码——同步FIFO module synfifo( input clk, input rst_n, input rd_en, input wr_en, output reg full, output reg empty, input [7:0] datain, output reg [7:0] dataout ); reg [7:0] RAM_DATA [511:0]; reg [8:0] r_addr;

  • 常用缓存(cache)淘汰算法(LFU、LRU、ARC、FIFO、MRU)2022-03-07 09:35:48

    缓存算法是指令的一个明细表,用于决定缓存系统中哪些数据应该被删去。 常见类型包括LFU、LRU、ARC、FIFO、MRU。 最不经常使用算法(LFU): 这个缓存算法使用一个计数器来记录条目被访问的频率。通过使用LFU缓存算法,最低访问数的条目首先被移除。这个方法并不经常使用,因为它无法对一个拥

  • 缓存淘汰算法FIFO、LRU、LFU及Java实现2022-03-02 13:35:18

    缓存淘汰算法 在高并发、高性能的质量要求不断提高时,我们首先会想到的就是利用缓存予以应对。 第一次请求时把计算好的结果存放在缓存中,下次遇到同样的请求时,把之前保存在缓存中的数据直接拿来使用。 但是,缓存的空间一般都是有限,不可能把所有的结果全部保存下来。那么,当缓存空间

  • 页面置换算法(FIFO、LRU、NRU)2022-02-28 17:35:36

    页面置换算法(FIFO、LRU、NRU) 项目描述 请求页式虚存管理是常用的虚拟存储管理方案之一。通过请求页式虚存管理中对页面置换算法的模拟,有助于理解虚拟存储技术的特点,并加深对请求页式虚存管理的页面调度算法的理解。本程序实现了FIFO、LRU、NRU三种不同的页面置换算法。 总结:

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有