ICode9

精准搜索请尝试: 精确搜索
  • ZYNQ PLL实验2022-09-08 14:31:17

    1、创建工程,点击Flow Navigator-->IP catalog      2、在弹出的窗口中,Search栏输入clock关键字,找到 Clocking Wizard,并双击打开     3、在弹窗中进行一些修改        其他的不改,点击OK,在弹窗中点击Generate  

  • 转载 -xilinx 产品系列2022-08-29 13:00:56

    xilinx 产品系列 山木 知之为知之,不知为不知,未知终可知   2 人赞同了该文章   众所周知, xilinx是 FPGA行业领导者,其产品代表这个行业的发展和应用方向. 从官方网站看, 其器件可分为以下6大类, 其中spartan已是老旧产品, artix, kintex, vertix 依次是低

  • 4、ZYNQ PS外设之GPIO2022-07-10 20:31:26

    1、ZYNQ PS外设简介   ZYNQ PS 中包含一组丰富的外设,如 USB 控制器、 UART 控制器、 I2C 控制器以及 GPIO 等等,他们提供了各种工业标准的接口, 用于和外部设备进行通信。   ZYNQ 分为 PS 和 PL 两部分,那么器件的引脚( Pin)资源同样也分成了两部分。 ZYNQ PS 中的外设可以通过 MIO

  • 创建zynq最小嵌入式系统2022-06-26 20:03:29

    1、简介   首先我们来了解一下 ZYNQ 嵌入式系统的开发流程。     如上图所示,开发流程大体可以分为 6 步。其中 step1 至 step4 为硬件设计部分,在 Vivado 软件中实现;step5 为软件设计部分,在 SDK 软件中实现; step6 为功能的验证。复杂的程序还涉及 Debug,这个也是在SDK 软件中实

  • ZYNQ芯片直接通过FSBL添加程序启动Linux内核zImage2022-06-05 11:32:52

    当前编译好FDT fdt.dtb和zImage放在SD卡中,使用XSDK建立fsbl工程,在sd.c中添加函数: 1 u32 SDLoadImage(char *filename, u32 DestinationAddress) 2 { 3 FRESULT rc; 4 UINT br; 5 UINT bl; 6 TCHAR *path = "0:/"; /* Logical drive number is 0 */ 7 8

  • MZ7035-035/045核心模块硬件手册2022-03-25 10:03:05

    1产品概述     自2017年MZ7035系列开发平台发布以来,MZ7035系列开发平台和核心模块经过多次迭代升级,在工业自动化、水利电力控制设备、医疗图像设备等领域广泛应用,产品性能接受了广大客户的检验,稳定可靠。2021年因芯片普遍紧缺涨价,MZ7035核心模块再次升级以确保供货稳定和降低

  • 【ZYNQ学习】ZYNQ架构介绍2022-03-06 01:04:16

    在上一篇博客中,主要介绍了ZYNQ的基本信息以及如何在vivado上实现自己的设计,但是在实际应用中,掌握ZYNQ的架构是必要的,因此在这篇博客中主要记录一下ZYNQ的架构 本篇博客的主要参考是ZYNQ book,有兴趣的可以去阅读一下,里面对于ZYNQ的介绍我认为讲的还不错,适合入门使用 处理器系统 硬

  • ZYNQ 双CPU裸机运行例程2022-03-02 09:35:08

    vivado 2014.4 特殊情况:总DDR内存大于512MB,且CPU0已经占用了超过512MB,这时按以下步骤CPU1无法启动。 原因:启动入口地址限制。更改方法如下: 打开cpu1_bsp\ps7_cortexa9_1\libsrc\standalone_v4_2\src\boot.S,注释为以下效果即可 #if USE_AMP==1 // ldr r3, =0x1ff /* 512 entrie

  • ZYNQ 启动问题 :FSBL2022-03-02 09:34:10

    0、ZYNQ外部启动条件 1、 电源要求: 在阶段0 BootROM时,安全模式下PS与PL都是必须上电的;非安全模式PS需要上电,如图: 在阶段1 FSBL时,PS与PL都是必须上电的,因为PL将在这个阶段进行配置,而PS将负责配置的过程。 2、 时钟要求:必须满足时钟 。 3、 复位要求:主要有两个外部复位源将影响Boot

  • ZYNQ生成一个工程的基本步骤2022-03-02 09:34:01

    Zynq 7000 SoC 是业界首款All Programmable SoC 组成: PL(FPGA部分) PS(ARM部分) PL和PS数据传输的 高效接口:AXI和ACP PS: 处理系统(Processing System),与FPGA无关的ARM的SOC的部分。 PL: 可编程逻辑 (Progarmmable Logic),FPGA部分。 APU: 应用处理器单元(Application Processor U

  • zynq多host高速存储方案设计2022-02-20 21:07:10

            前面文章讲解了《zynq高速存储方案》,那种方案是针对单个pcie host设计的方案,当项目需求记录速度很高,并且没有国产化要求时,可以考虑使用Zynq UltraScale+ 系列的ZU11EG芯片,该芯片成本低,且支持4个PCIe硬核,可以将该4个pcie都配置成host模式,在每个host下挂载pcie 3.

  • EBAZ4205 ZYNQ 7Z010 u-boot & Linux 生成方法记录2022-02-10 14:01:30

    上一篇文章介绍了EBAZ4205如何裸机固化,从NAND启动,并生成了.bit和fsbl.elf文件。本文记录如何生成u-boot & Linux。注意:在这之前需要移动电阻将zynq改为从SD卡启动。 使用vivado/Xilinx SDK生成.bit和fsbl.elf 上一篇文章生成的.bit和fsbl.elf并没有使用到所有的硬件。重新配

  • 在ZYNQ的PL侧添加串口IP165502022-02-10 12:04:27

    环境 软件:vivado2017.4 硬件:黑金7017的开发板 步骤: 1、使用黑金例程中的ps_axi_led例程中进行添加pl侧的16550IP核 2、添加16550IP核、Constant IP核链接如下: Contant连接的freeze输出默认0 Contant连接的rin和ctsn输出默认1  将16550的sin和sout引出  添加管脚约束

  • Zynq LTE基站设计与实现2022-02-09 20:06:57

    采用赛灵思Zynq-7000 All Programmalbe SoC能让毫微微、微微以及其他小型蜂窝基站设计的集成度、灵活性和低功耗达到全新的高度。 小型蜂窝属于低功率无线基站,运行于授权频谱范围内,并由移动网络运营商进行管理。小型蜂窝基站的类型囊括毫微微(Femtocell)、微微(Picocell)、微蜂

  • Zynq——PL_BRAM_PS数据传输2022-01-22 12:03:44

    前言 开发环境:vivado 2020.2 vitis windows10 黑金开发板 基于板厂给的模板,修改文件,实现以下功能: PS端向PL端发送数据(写至BRAM),PL端对数据进行处理,处理后将数据写回BRAM,接着PS端读取BRAM并通过串口发送至win10,win10下使用串口接收数据并利用python导出数据保存。 1.原板厂例

  • ZYNQ 裸机版本DDR测试2021-12-17 15:30:38

    一、代码 #include <stdio.h> #include "platform.h" #include "xil_printf.h" #include "xparameters.h" #include "xparameters_ps.h" #include "xil_io.h" #define DDR_BASEARDDR XPAR_DDR_MEM_BASEADDR + 0x100

  • 【ZYNQ】从入门到秃头03 Vivado软件的硬件调试2021-12-15 12:34:04

    文章目录 硬件调试简介ILAVIO HDL实例化调试探针流程Hardware Manager中观察调试信号网表插入调试探针流程添加“Make Debug”属性Run Synthesis“ Netlist”子窗口、 Schematic”子窗口以及 Debug”子窗口(1)在综合后的网表中手动为信号添加 mark_debug属性(2 )HDL代码中已经

  • ZYNQ使用W25Q256问题笔记2021-12-15 09:05:16

    在zynq使用w25q256出现问题,先把问题记录下来,暂时为解决。 我们使用环境 Linux-5.4.151,使用SPI FLASH启动,SPI FLASH :W25q256 (32MB) 内核启动 spi flash 报错,中间有添加打印调试信息 [ 0.592838] Initialise system trusted keyrings [ 0.597462] workingset: timestamp_bits

  • ZYNQ开发(七)Linux开发之Petaliunx的设计流程(SD卡启动)2021-12-14 12:58:35

    1、创建Vivado硬件平台 ①在Windows系统下使用Vivado生成项目工程,生成.SDK后缀的文件 ②创建了一个名为petalinux的目录,并在petalinux目录下创建了hdf目录用于存放这个sdk文件夹,将sdk文件夹拷贝到用户节目路下的petalinux/hdf目录 2、设置Petalinux环境变量 指令:source /opt

  • 复旦微ZYNQ SOC AXI_DMA数据传输2021-12-05 23:00:41

    国产复旦微SOC平台的应用尚未普及,在ARM CONTEX A7+FPGA架构(PS+PL架构)中,CPU与FPGA通过AXI总线协议进行数据传输,小批量数据可以通过AXI_lite进行交互,大容量的数据需要采AXI_stream协议。 一,AXI总线简介 复旦微采用四核APU,GP总线接口和HP总线接口带宽支持64位。AXI_DMA可将在数据

  • ZYNQ开发(一)Linux Shell 指令2021-11-30 16:58:29

    Linux Shell 指令 Shell 是一个应用程序,它连接了用户和Linux 内核,让用户能够更加高效、安全、低成本地使用Linux 内核,这就是Shell 的本质。 1、Ls指令的参数以及含义 目录信息查看命令ls,ls主要用于显示指定目录下的内容,列出指定目录下包含的所有的文件以及子目录。 2、

  • Petalinux学习记录2021-11-26 23:00:32

    1.petalinux创建工程 进入petalinux安装目录,再控制台输入以下命令启动petalinux source ./settings.sh 2.切换到自己的工程目录,在命令行输入 petalinux-create --type project --name xxx--template zynq “--type”后面的是创建工程类型(project),--name后面的 xxx是工程的名称(自

  • ZYNQ-仿真2021-11-16 21:31:20

    `timescale 1ns / 1ps 仿真单位/仿真精度 reg :always wire : assign `timescale 1ns / 1ps module tb_led_twinkle(); //输入 reg sys_clk1; reg sys_rst_n; //输出 wire [1:0] led; //信号初始化 initial begin sys_clk1 = 1'b0; sys

  • ZYNQ&FPGA 串口通信实验2021-11-06 23:32:18

    实验任务  上位机通过串口将数据发送给开发板,开发板通过串口把数据送回上位机。 TX→RX为串行通信,在FPGA内部接收到发送为并行数据。 协议层: 数据位为8位,停止位为1位,无校验位 波特率为115200bps  目的:将上图中数据转换为并行数据并给出标志信号。 串口接收过程示意图:  uar

  • Zynq-Linux移植学习3-Qspi flash读写操作:裸驱flash区域连续读写2021-11-06 17:32:41

    因公司需求,需要开发一个裸驱读写Qspi falsh的驱动。 首先需要了解两个方面的知识,一是spi驱动,二是flash读写指令。spi的驱动在sdk中有集成,在此不再赘述。 下面首先查看数据手册中的读取器件型号指令,确保spi驱动没有问题。spi读0x9F寄存器,读出正确的flashID证明spi驱动没有问题。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有