ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

ZYNQ-仿真

2021-11-16 21:31:20  阅读:199  来源: 互联网

标签:仿真 led twinkle sys ZYNQ rst clk1 reg


在这里插入图片描述

`timescale 1ns / 1ps   仿真单位/仿真精度

reg :always
wire : assign

`timescale 1ns / 1ps

module tb_led_twinkle();

//输入
reg           sys_clk1;
reg           sys_rst_n;

//输出
wire  [1:0]   led;

//信号初始化
initial begin
    sys_clk1 = 1'b0;
    sys_rst_n = 1'b0;
    #200
    sys_rst_n = 1'b1;
end

//生成时钟
always #10 sys_clk1 = ~sys_clk1;//20ns周期

//例化待测设计
led_twinkle  u_led_twinkle(
    .sys_clk         (sys_clk1),
    .sys_rst_n       (sys_rst_n),
    .led             (led)
    );

endmodule


标签:仿真,led,twinkle,sys,ZYNQ,rst,clk1,reg
来源: https://blog.csdn.net/yunddun/article/details/121363563

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有