ICode9

精准搜索请尝试: 精确搜索
  • ARDUINO学习——通信篇2021-09-29 12:31:22

    目录 串口通信简介硬件串口通信(UART)——HardwareSerial 类库软件模拟串口通信——softwareserial 类库使用实验I2C协议Wire 类库实验实验 SPI协议实验:SPI通信软件模拟SPI通信实验:使用 74HC595 串口通信简介 参考文章(大佬写的很好可以去看一下) arduino支持的串行通信有UAR

  • system verilog基础知识总结与复习(数组、队列、数据结构)2021-09-23 18:35:13

            本文章大多以提问的形式给出问题,并尝试解答,主要目的是为了帮助自己复习sv基础知识,不足之处还请指正,以便共同学习! 一、数组、队列、数据结构 1.sv新增的数据类型logic,与wire,reg相比它有什么特点?         wire: 表示物理器件之间的连线,不存储值,常用于assign引导的

  • 【Verilog】子模块连接相关问题2021-09-14 15:05:06

    通过wire变量达成不同子模块的互相连接 我们通过创建wire变量互通这几个子模块的q→d,然后通过端口名称互联子模块与顶层模块: 程序如下: 写出这个程序你需要知道的知识: ①子模块的定义,声明和调用(实例化)。 ②子模块与顶层的连接方法(by name)。 ③wire变量存放二进制信号,由此起

  • 单片机学习(十二)1-Wire通信协议和DS18B20温度传感器2021-09-10 12:35:20

    目录一、DS18B201. DS18B20简介2. 电路原理图3. 内部结构内部完整结构框图存储器结构二、单总线(1-Wire BUS)1. 单总线简介2. 电路规范3. 单总线的时序结构4. DS18B20操作流程5. DS18B20数据帧6. 温度的存储格式三、编码实现1. 单总线部分2. DS18B20模块3. main.c部分 一、DS18B20 1

  • 课13 key fsm debounce2021-09-02 17:32:20

      module fsm( input wire clk, input wire rst, input wire key1, input wire key2, input wire [3:0]water1, input wire [3:0]wtaer2, output reg [3:0]led); parameter c10s=500_000_000; re

  • 基于FPGA的音乐蜂鸣器设计与实现2021-08-25 20:01:06

     1 设计要求        以蜂鸣器演奏《世上只有妈妈好》的片段为例,用FPGA设计一个乐曲演奏系统。 2 设计原理 2.1 蜂鸣器的结构原理                                                 蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用

  • 自己写的一个zynq系列dma 将stream 数据从pl读取写入ps的ddr2021-08-25 17:02:59

    `timescale 1ns / 1ps module all ( input [31:0] indata_data, input indata_enable, input aclk, input aresetn, //write address channal output reg [31:0] outdata_awa

  • 在Vivado中配置FIFO-IP核2021-08-24 21:34:41

    文章目录 一、FIFO是啥??二、同步FIFO-IP核的配置和验证三、使用1.实例化FIFO2.仿真验证 一、FIFO是啥?? FIFO本质上还是RAM,可读可写,只不过是先写先读,核、就像数据结构里面的队列。其主要在多比特做跨时钟域处理、前后带宽不同步等情况下使用根据时钟可分为同步FIFO和异步F

  • Verilog HDL语法基础2021-08-20 23:33:00

    一个复杂电路的完整Verilog HDL模型是由若个Verilog HDL 模块构成的,每一个模块又可以由若干个子模块构成。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计。每个模块的内容都是嵌在module和endmodule两个语句之间,每个模块实

  • FPGA视频仿真2021-08-05 11:03:01

    视频图像处理仿真测试系统 最近看《基于FPGA的数字图像处理原理及应用》看到了第五章,本章内容主要讲如何搭建一个视频图像处理仿真测试系统,我参考了书上的内容,自己设计了一个基于Qt creator的仿真测试系统。 1.仿真测试系统框架 仿真测试系统所包含的功能:(1)模拟可配置的视频流(单帧

  • 20210803:AXI-Stream协议源码分析初探2021-08-04 00:00:22

    AXI-Stream协议细读 简要说明交互握手过程简述源码分析TestBench测试写在最后参考资料 简要说明 不同于AXI和AXI-lite协议,AXI-Stream协议不是基于地址的数据交互协议,如其名,数据流协议。支持多个主从端之间通过同一个通路进行交互。支持常规数据流,位置数据流,以及填充数据

  • SDRAM接口练习42021-08-03 22:58:18

    SDRAM接口练习4 `timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2021/08/03 09:39:06 // Design Name: // Module Name: work_4 // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revis

  • 基于FPGA的4位减法器结构化设计2021-07-30 17:34:17

    1. 设计要求:   设计一个4位减法器,采用结构化设计。该设计名为sub_4bit,其顶层设计如下图所示,有三个输入量:被减数x[3:0],减数y[3:0],低位向本位的借位bin;有两个输出量本位差dif[3:0],本位向高位的借位bout。数学表达式为:(x + bout) – y – bin = diff 2. 设计实现   4位减法器由

  • protobuf笔记2021-07-26 23:57:56

    官网: https://developers.google.com/protocol-buffers/docs/proto3 https://developers.google.com/protocol-buffers/docs/encoding 编译安装 wget https://github.com/protocolbuffers/protobuf/archive/refs/tags/v3.17.3.tar.gz cd protobuf-3.17.3 sh ./autogen.sh ./couf

  • 2021-07-232021-07-23 16:31:52

    实验一 VGA显示RGB彩条实验 学习目的: 1. 学习VGA 2. 学习视频的时序 3. 学习RGB颜色空间 实验原理: VGA接口是经典的显示接口, 传输信号为模拟信号. 使用ADC: ADV7123 将24bit 的RGB 信号转化为模拟信号 实验步骤 1. 打开priject_1 , 用quartus II 打开par 目录中

  • FPGA电梯控制系统2021-07-21 14:00:03

    通信本科,专业综合课程设计题目,基于FPGA的电梯控制系统。 硬件平台:FPGA 黑金开发平台 AX4010。参考资料 软件平台:quartus13.1(参考正点原子) 百度网盘下载地址 提取码: jxpe 破解地址 所实现的功能: 显示部分:六位数码管第一位显示用户设置的目标楼层数,最后一位显示电梯当

  • verilog base2021-07-15 16:03:42

    FPGA开发中一共就两个类型 wire, reg input一定都是线型的 设定位宽 1位位宽可以不设定,默认就是一位的。 output可以是reg型 也可以是wire型   两个可综合语句 assign , always语句 reg在always语句下编程 wire在assign语句下编程   testbench 测试激励模块 新建一个sim仿真文件

  • 无符号连续运算除法器2021-07-10 16:36:43

    1.起因:        最近移植工程的时候要用到除法器,但是某国产FPGA的除法器IP核只支持单个数的除法,要等到这个除法运算结束后才能开始下一个数的除法运算,没办法做连续的除法运算。网上能找到的除法运算也是只支持单个数的除法运算,索性自己写一个 2.除法器原理        见下面连

  • MIPS多周期CPU设计实现(40条指令)2021-07-09 17:00:18

    无异常指令(共40条) 1.算术指令 ADDIUADDUSUBUMULUDIVUMULDIV 2.逻辑指令 ANDANDINORORORIXORXORILUI 3.移位指令 SLLVSLLSRAVSRASLTSLTISLTIUSLTUSRLSRLV 4.分支跳转指令 JJALJRBEQBNEBGEZBGTZBLEZBLTZ 5.读写指令 LWSW 6.数据移动 1.MFLO 2.MFHI 3.MTLO 4.MTHI 包括的模块

  • verilog语法(三)信号类型2021-07-04 21:02:34

    Verilog HDL 的信号类型有很多种,主要包括两种数据类型:线网类型(net type) 和寄存器类型(reg type)。在进行工程设计的过程中也只会使用到这两个类型的信号。 1 信号位宽 定义信号类型的同时,必须定义好信号的位宽。默认信号的位宽是 1 位,当信号的位宽为 1 时可不表述,如定义位宽为 1

  • 整数除法verilog代码支持pipeline2021-06-30 23:30:02

    在sgbm算法中用到,算是经过考验过的, 可以到我的github页面下载modelsim仿真工程 http://github.com/tishi43/div module div_by_shift_sum #(     parameter WidthD0=64,     parameter WidthD1=32,     parameter WidthQ=WidthD0+WidthD1) (     input

  • 上课教材数字逻辑基础与Verilog设计 P191 图6.352021-06-29 18:57:28

    一、实验要求:用Modlsim进行设计仿真实验 二、实验内容 1、实验书本及实验题目:上课教材数字逻辑基础与Verilog设计 P191 图6.35 2、实验主要内容:设计一个简单的时序电路。 三、相关图 电路图 状态表图 系统框图 状态图 四、实验工具 pc机、moelsim软件等 五、实验视频 htt

  • EDA技术实用教程 | 复习二 | Verilog基本语法2021-06-21 11:57:27

    一、reg型 reg主要用于定义特定类型的变量,即寄存器变量或寄存器型数据类型的变量。 寄存器变量的定义格式如下: reg 变量名1,变量名2,...; reg [msb : lsb] 变量名1,变量名2, ...; 例如:定义输出端口seg一个寄存器数据类型的7位总线 output reg [6 : 0] seg; 二、wire型 对于模块

  • verilog中的基本数据类型2021-06-19 10:59:37

    Verilog 最常用的 2 种数据类型就是线网(wire)与寄存器(reg),其余类型可以理解为这两种数据类型的扩展或辅助。 一、线网(wire) wire 类型表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动。如果没有驱动元件连接到 wire 型变量,缺省值一般为 “Z”。举例如下: wire interru

  • DDS与DA2021-06-16 22:32:18

    信号发生器 信号发生器是一种能提供各种频率、输出电平的电信号的设备,又称信号源或振荡器。其在各种 电信系统的振幅、频率、传输特性等电参数以及元器件的特性与参数的测量中得到了广泛的应用。 直接数字式频率合成器 ( Direct Digital Synthesizer,DDS)是一项关键的数字化

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有