ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

verilog中testbench仿真时钟的生成

2022-07-28 18:34:12  阅读:214  来源: 互联网

标签:Low clk testbench period High verilog time parameter 时钟


一、普通时钟信号:

1、基于initial语句的方法:

parameter clk_period = 10;  
reg clk;  
initial begin  
    clk = 0;  
    forever  
        #(clk_period/2) clk = ~clk;  
end  

2、基于always语句的方法:

parameter clk_period = 10;  
reg clk;  
initial  
    clk = 0;  
always #(clk_period/2) clk = ~clk;  

二、自定义占空比的时钟信号:

parameter High_time = 5,Low_time = 20;  
// 占空比为High_time/(High_time+Low_time)  
reg clk;  
always begin  
    clk = 1;  
    #High_time;  
    clk = 0;  
    #Low_time;  
end  

三、相位偏移的时钟信号:

parameter High_time = 5,Low_time = 20,pshift_time = 2;  
// 相位偏移为360*pshift_time/(High_time+Low_time)  
reg clk_a;  
wire clk_b;  
always begin  
    clk_a = 1;  
    #High_time;  
    clk_a = 0;  
    #Low_time;  
end  
assign #pshift_time clk_b = clk_a;  

四、固定数目的时钟信号:

parameter clk_cnt = 5, clk_period = 2;  
reg clk;  
initial begin  
    clk = 0;  
    repeat(clk_cnt)  
        #(clk_period/2) clk = ~clk;  
end  

参考链接:https://www.cnblogs.com/Edam-IC/p/9054278.html

标签:Low,clk,testbench,period,High,verilog,time,parameter,时钟
来源: https://www.cnblogs.com/amxiang/p/16529733.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有