ICode9

精准搜索请尝试: 精确搜索
  • FPGA | Verilog 实现矩阵乘法(附源码)2022-11-11 15:46:12

    最近需要用 verilog写一个矩阵乘法的简单模块 我写的是 3 * 3 的、数值位宽为 [3:0] (0-15)的矩阵乘法,你完全可以根据你的板子资源加以更改: Verilog代码 `timescale 1ns / 1ps module mm(A,B,Result); input [35:0] A; // 行*列*数据位宽 3*3*4 input [35:0

  • VCS2022-09-13 11:32:13

    一、前言 接触Synopsys 家的VCS工具有一段时间了,在此简单分享下个人的学习笔记。供刚接触到数字前端设计的同学提供一些参考资料。在学校我们经常使用的verilog仿真软件都是quartus和modelsim,但是看一下一些公司的招聘要求,公司里使用的基本都是VCS。所以学习一下对找工作还是有帮

  • verilog基础2022-09-05 20:32:07

    位宽: 在芯片设计中,可以理解位连接的线路,位宽数表示线路数量; 芯片内部寄存器:芯片内部由1bit的寄存器组合构成不同位宽寄存器        verilog基础数据类型: wire 线网 :表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动;   wire interrup;   wire flag1, flag2;   wir

  • Verilog-Latch的产生2022-09-02 23:01:07

    避免latch的产生 latch的简介 latch其实就是锁存器,是一种在异步电路系统中,对输入信号电平敏感的单元,用来存储信息。 锁存器在数据未锁存时,输出端的信号随输入信号变化,就像信号通过一个缓冲器,一旦锁存信号有效,则数据被锁存,输入信号不起作用。因此,锁存器也被称为透明锁存器,指的是不

  • HDLBits答案——Verilog Language2022-08-16 20:00:09

    Verilog Language 1 Basics 1.1 Wire module top_module( input in, output out ); assign out = in; endmodule 1.2 Wire4 module top_module( input a,b,c, output w,x,y,z ); assign w = a; assign x = b; assign y = b; assign z = c

  • Verilog编译指令2022-08-14 16:01:42

    编译指令 编译指令(Compiler directive)能够让仿真器和综合工具执行一些特殊的操作。特点: 以`(重音符号)为前缀 从处理位置一直保持有效,除非被其他指令覆盖或者取消 `resetall指令将所有编译指令复位成默认值 主要的编译指令: celldefine和endcelldefine: 用于将模块标记为单

  • [数字IC手撕verilog]脉冲同步、快到慢异步传输、开环闭环控制、握手反压2022-08-04 18:04:19

    [数字IC手撕verilog]脉冲同步、快到慢异步传输、开环闭环控制、握手反压 具体理论就不阐述了,只放代码和示意图建议直接看sunburst的cdc文章,不会出错 http://www.sunburst-design.com/papers/CummingsSNUG2008Boston_CDC.pdf 脉冲同步(开环控制) // a is faster than b, and a pul

  • Verilog初级教程(5)Verilog中的多维数组和存储器2022-07-29 18:34:20

    博文目录 写在前面 正文 多维数组 多维数组赋值 内存 寄存器变量应用实例 寄存器阵列应用实例 参考资料 交个朋友 写在前面 上篇博客讲了单比特的变量称为标量,多比特的变量称为向量。其实向量就类似于C或者其他语言中的一维数组,如果是reg类型的变量,对应的硬件逻辑是

  • Verilog memory类型数据2022-07-29 18:03:14

    引言 verilog中具有多种数据类型,当我们用reg类型元素构建一维数组时,这时候的变量也称之为memory。可以用于模拟只读存储器(ROMs)或随机存取存储器(RAMs)或者寄存器堆(regfiles)。 数组中的每个reg被称为元素或字,由单个数组索引来处理。 赋值等操作 一个n-bit的reg可以在单个分配中赋值,但

  • verilog中testbench仿真时钟的生成2022-07-28 18:34:12

    一、普通时钟信号: 1、基于initial语句的方法: parameter clk_period = 10; reg clk; initial begin clk = 0; forever #(clk_period/2) clk = ~clk; end 2、基于always语句的方法: parameter clk_period = 10; reg clk; initial clk = 0;

  • Verilog 运算符2022-07-21 17:00:07

    文章目录 一、算术操作符(+ - * / % **) 二、相等操作符(==  ! =   ===  ! ==  ==?  !=?) 三、逻辑操作符(&& || !) 四、按位操作符(~ & | ^  ^~或 ~^) 五、缩减操作符(& ~& |  ~|  ^  ~^) 六、移位操作符(<< >> <<< >>>) 七、关系操作符(> < >= <=) 八、条件操作符(?:) 九

  • 开发板FPGA电机控制源码(verilog+nios2架构)FPGA电机控制源码, 方案为单FPGA方案才用底层verilog2022-07-15 13:04:57

    开发板FPGA电机控制源码(verilog+nios2架构)FPGA电机控制源码, 方案为单FPGA方案才用底层verilog + 应用层nios2的软件架构,很具有学习价值。 包括编码器模块算法, 坐标变换算法, 矢量调制算法等等。 注:此代码不适合新手小白。 YID:1611669469428085西南害羞的贝母

  • 彻底理解DDS(信号发生器)的fpga实现(verilog设计代码)2022-07-14 10:31:11

    DDS(Direct Digital Synthesis)是一种把一系列数字信号通过D/A转换器转换成模拟信号的数字合成技术。 它有查表法和计算法两种基本合成方法。在这里主要记录DDS查表法的fpga实现。 查表法:由于ROM查询法结构简单,只需要在ROM中存放不同相位对应的幅度序列,然后通过相位累加器的输出对其

  • HDL Bits---More Verilog Features2022-07-04 21:04:30

    2.5.1 Conditional temary operator  module top_module ( input [7:0] a, b, c, d, output [7:0] min);// wire [7:0]r1,r2;  //记得定义位宽 assign r1=(a<b)?a:b; assign r2=(c<d)?c:d; assign min=(r1<r2)?r1:r2;endmodule   2.5.2 Reduction operat

  • systemverilog/verilog tag 使用2022-06-25 23:04:23

    本文是基于svtag(tags)的常规使用方法介绍, 如果还没建立tags 可以参考:sytemverilog tag: https://www.cnblogs.com/freeic/p/7144248.html verilog tag:  https://www.cnblogs.com/freeic/p/7130396.html 使用tag跳转功能: 1) 在gvim 打开tag后使用 ":tag xxx"       联想功能:

  • Verilog标准手册阅读笔记2022-06-24 16:04:09

    引言 该文章不适用于Verilog入学 Verilog标准手册下载地址: Verilog标准手册 简介 基本元件模块:逻辑门和用户定义的原语模块(UDPs) NET:表示电路连线或是总线的网络 寄存器:可以作为输入信号连接到某个具体模块的输入口 模块中每个initial,always,连续赋值assign,UDP和各种逻辑门结构块

  • Verilog中for循环的使用2022-06-23 11:05:28

    Verilog 中重复的内容可以使用for循环来完成,目前总结的注意点如下:1、always 内部用for循环,需要定义interger类型变量,否则有些仿真工具会报错2、异步复位时序逻辑always@下面第一行必须是异步复位,不能有for循环,否则综合工具会报错 3、for语句在always 外部,或者使用for循环例化模块

  • 强化版按键消抖Verilog实现2022-06-09 11:01:21

    介绍:按键的物理结构导致了会有抖动现象的出现,判断按键是否真正按下,需要把抖动的部分滤波。根据经验可知,抖动一般在20ms内,所以常规的消抖方法是从变化沿出现时刻开始,延时20ms后判断按键的状态。这种方法适用范围不广,因为精度不高(如下图,会判断出错)。    本次设计通过状态机的设

  • Verilog常用语法2022-06-07 11:00:40

    Verilog常用语法该内容均可以在夏宇闻老师的《Verilog数字系统设计教程》第四版中找到,在此处只是便于回顾而已,没有书的可以参考,FPGA设计常用的都已经标出来了,有部分常用,但根C语言差不多的就没有标出来,有时间的可以仔细看一遍。 一、模块的结构、数据类型、变量和基本运算符号1 模

  • system verilog里面的压缩数组2022-06-05 10:03:51

    数组 在Verilog中可以声明一个数组类型,reg和线网类型还可以具有一个向量宽度。在一个对象名前面声明的尺寸表示向量的宽度,在一个对象名后面声明的尺寸表示数组的深度。例如: 1 reg[7:0] r1[1:256]; // 256个8位的变量 在SystemVerilog中我们使用不同的术语表示数组:使用“压缩数

  • 计组verilog一些教程记录2022-05-24 01:00:57

    随手记一下网址……或许还有奇奇怪怪的内容 Verilog基础教程 Verilog语法 | 教程 (ustc.edu.cn) 添加简单约束 https://blog.csdn.net/wnazhe45/article/details/107709422 parameter verilog语法1:parameter、defparam与 localparam - 知乎 (zhihu.com) 转换: C to mips汇编(mip

  • Verilog——数据转换器:1)由宽到窄(32bit到16bit)的数据转换模块2022-04-24 10:34:40

    Verilog——数据转换器:1)由宽到窄(32bit到16bit)的数据转换模块 转换目标及基本原理 转换目标 使用FPGA的不同频率和相位的时钟,将低频32bit位宽的数据流转换为倍频16bit位宽的数据流。 时钟 时钟频率:输入时钟频率CLK1X,输出时钟频率CLK2X,f_CLK2X = 2*f_CLK1X。 相位:CLK2X的相位

  • 关于verilog中的移位运算和乘法2022-03-21 10:33:05

    移位运算和乘法 乘2就是左移一位,左移的位数,就是n,2=2的1次方,所以左移一位 同理,乘4就是左移两位,乘8就是左移三位 那么乘5、6、7该怎么办呢?5等于2的2次方-1,所以乘5就等于左移两位再减去本身 6等于2的2次方加上2的1次方,所以就是这个数本身左移两位再加上这个数本身左移一位,如此反复  

  • [Verilog]三人表决器电路2022-03-19 19:04:39

    由三人表决器的功能可知,有三个输入量,当输入量中1的数量大于等于两个时,变量输出结果为1 源程序代码 `timescale 1ns / 1ps module test1( input a, input b, input c, output f ); assign f=a&b|a&c|b&c ; //f=ab+ac+bc endmodule 仿真代码 `timescale 1ns / 1ps modul

  • Verilog系统任务2022-03-19 16:03:14

    $finish:在进行电路仿真时,如果遇到$finish,仿真器完成仿真并退出。 $stop:当遇到$stop时,仿真器停止仿真,但不退出,它同时提供一个命令提示符,在命令提示符后面输入“.”, 则仿真过程继续进行 $display:显示调试信息、错误或异常情况。 $monitor:仅当$monitor监视的信号发生变化时才在屏

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有