ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

verilog :generate语句

2021-10-28 20:02:58  阅读:140  来源: 互联网

标签:语句 begin wire buffer verilog input generate


Verilog generate 语句是用于编写可配置、可综合RTL的强大构造。它可用于创建模块和代码的多个实例化。

generate-for语句:
1.必须有genvar关键字定义for语句的变量。
2.for语句的内容必须加begin和end。
3.for语句必须有一个名字begin:(name)

在buffer_8中例化buffer-1 8次

module buffer_8(
	input wire[7:0] din,
	input wire[7:0] dout);
	genvar i;
	generate
		for(i=0;i<8;i++)begin:Block1
			buffer_1 buffer1(.in(din[i]), .out(dout[i]));
		end 
	endgenerate
endmodule
module buffer_1(
	input wire in,
	output wire out);
	assign out = ~in;
endmodule

标签:语句,begin,wire,buffer,verilog,input,generate
来源: https://blog.csdn.net/sinat_41774721/article/details/121015595

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有