ICode9

精准搜索请尝试: 精确搜索
  • SoC PVT的基本概念,它负责监控芯片什么?2022-07-28 11:31:35

    Reference https://www.synopsys.com/glossary/what-are-pvt-sensors.html https://www.synopsys.com/zh-cn/designware-ip/technical-bulletin/in-chip-sensor-pvt.html

  • vcs为什么makefile中执行的结果和直接执行的结果不一样2022-03-21 17:34:25

    vcs为什么makefile中执行的结果和直接执行的结果不一样 最近在使用vcs时,有需求需要批量仿真verilog代码,但是把在terminal中运行的command复制到makefile中,执行make的时候却发现报出许多错误. 原命令: 点击查看代码 compile: vcs ~/Testcase/RTL/7_VMC_5gate/tb_7_VMC001.v -

  • Synopsys工具安装之一 【Installer】2022-01-11 13:33:32

    Synopsys工具安装之一 【Installer】 前言一、如何下载installer ?二、如何安装installer ?1.修改执行权限2.执行安装 前言 synopsys为eda工具安装,提供了一个安装器,名叫installer。所以,首先要先安装installer这个工具,之后才能安装其他的eda工具。首先从官网下载该installe

  • Linux下(centos)使用verdi以及vcs简明教程2021-11-27 20:00:17

    参考文章: Linux下VCS与Verdi联合仿真简易教程及例子示范 - 灰信网(软件开发博客聚合)https://www.freesion.com/article/71471173457/这个文章的内容较为全面,但是有些许的不足,将发生的更改记录下来 1、 vcs -R -full64 +v2k -fsdb +define+FSDB -sverilog counter.v tb_counter.v

  • synopsys PCIE IP协议解析2021-11-04 06:31:05

    synopsys PCIE IP协议解析 1.Overview Core支持单个Pcie内核的Loopback功能,该功能主要为了做芯片验证,以及在没有远程接收器件的情况下完成自己的回环。同时,Core也支持有远程接收器件的loopback,在该中情况下,远程接收器件称为loopback slave。该种模式可以用来完成板级的debug,BER测试

  • VCS和Verdi的安装解决问题记录2021-09-11 17:32:29

    VCS和Verdi的安装问题记录 安装系统环境 :Centos 7 主要参考如下内容: 从零开始VCS+Verdi 关于CentOS7安装VCS等EDA仿真软件过程中遇到的问题 安装注意事项: 整个过程安装需要注意一下几点: 1、安装文件结构 ​ 我的安装目录如下所示,安装在/opt/Synopsys 中,安装目录只需前期进行

  • PrimeShield——synopsys 最新timing sign_off点工具2021-07-13 14:03:26

    PrimeShield——synopsys 最新timing sign_off点工具 文章目录 PrimeShield——synopsys 最新timing sign_off点工具一、PrimeShield概述二、应用场景1——高性能设计三、应用场景2——低功耗设计四、应用场景3——鲁棒性设计五、应用场景4——高sigma设计(汽车电子所需)

  • DC4:Tcl与Design Compiler (四)——DC启动环境的设置+Comments2021-07-11 16:34:13

    本文如果有错,欢迎留言更正;此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 主要内容有:   ·启动环境的概述   ·路径变量的定义与解释   ·库的指定与解释 (1)启动环境配置简述   我们按照前面的基本流程使用DC进行设置,但是这里主要使用的是

  • EDA - synopsys dc_shell-t read缓冲区大小不同引起的问题2021-06-16 20:32:28

    EDA - synopsys dc_shell-t read缓冲区大小不同引起的问题 问题描述 在CentOS 7上执行dc_shell-t -topo -64bit进入shell后,source /path/to/tcl.tl,还在source的过程中使用另一台服务器执行svn up /path/to/tcl.tl,接着该source过程就报错退出。而在CentOS 6上该flow是能正常完

  • Synopsys工具命令2021-03-15 14:32:52

    VCS: 编译命令的格式:vcs sourcefile [compile_time_option] (编译选项用来控制编译过程) 执行仿真命令格式:./simv [run_time_option] -l readme.log 用于将编译产生的信息放在log文件内,+v2k是使VCS兼容verilog 2001以前的标准。-debug_all用于产生debug所需的文件。 ./simv -l run.

  • 转:SYNOPSYS VCS Makefile文件编写与研究2020-08-07 15:01:38

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了。我们来看这个文件的头部说明:makefile 其实完全可以用csh或其他脚本来编写,只是VCS使用的linux内置的make命令定义了一个标准的仿真脚本,make命令是

  • Synopsys DC综合脚本示例2019-11-12 23:02:14

    #******************************************************************************# File : syn_example.tcl# Author : Lyu Yang# Date : 2018-12-09# Description : Design Compiler#****************************************************

  • 选型宝访谈:什么是没有基因缺陷的信息安全体系?2019-07-18 22:55:07

    写在前面 目前所有的安全厂商的安全理念都是错的! 99%的企业的安全系统都是有基因缺陷的! 这是选型宝主编与SYNOPSYS中国区部门业务负责人、 Coverity产品线专家 韩葆首次交流时,他率先抛出的两个观点! PART1 Q作为一家EDA和IP领域的一个全球的领导者,那么你们为什么会想要进入这个安全

  • [转]DesignWare是什么2019-06-24 10:43:22

    一.DesignWare是什么         摘自https://zhidao.baidu.com/question/473669077.html        DesignWare是SoC/ASIC设计者最钟爱的设计IP库和验证IP库。它包括一个独立于工艺的、经验证的、可综合的虚拟微架构的元件集合,包括逻辑、算术、存储和专用元件系列,超过140个模块

  • 【转载】数字IC设计流程及开发工具2019-05-06 16:43:26

    原文链接:https://www.zhihu.com/question/28322269/answer/42048070 Design Flow <img src="https://pic1.zhimg.com/50/c21722c94ed307d02d10330460cf6886_hd.jpg" data-rawwidth="827" data-rawheight="1023" class="origi

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有