ICode9

精准搜索请尝试: 精确搜索
  • TivaC学习笔记2021-07-19 14:31:37

    一、基础时钟源 TM4C123内部共有4个时钟源,见下表 时钟简介内部高精度振荡器(PIOSC)内部振荡器,其频率为16MHz,精度为1%,可以用来驱动PLL主振荡器 (MOSC)外部高速振荡器,频率可在4-25M间选择,可以驱动PLL(此时频率在5-25M)低频内部振荡器 (LFIOSC)适用于深度睡眠省电模式,它的频率是会

  • The system clock has been set back more than 24 hours2021-07-13 15:35:39

        如果修改过系统时间,会留下很多文件,是在修改的时间内创建的, 打开ArcMap时,哪怕系统时间已经正常,也会报错。   把那些文件删除,就可以正常运行了。

  • zabbix4.0表分区优化+备份2021-07-09 15:31:08

    前言: zabbix运行时间长了,卡顿现象严重。 当zabbix数据库达到7亿多条之后会出现洪水告警。原因是,zabbix数据库压力过大,写入新的数据需要10秒左右。 现在查询zabbix的history_uint 历史数据表,已经高达10亿条以上,现在每次查询都要十多秒,如下图 要做哪些? 保留之前的历史数据对现

  • 序列求和2021-07-08 22:35:05

    序列求和 问题描述 求1+2+3+4+...+n的值。 输入格式 输入包括一个整数n。 输出格式 输出一行,包括一个整数,表示1+2+3+4+...+n的值。 思路 求从1加到n的值,使用C++语言编写,先要弄清输入与输出值的类型,要避免当n的数值过大时产生数据溢出,int型数据的范围为-2147483648~2147483647

  • 2021-06-152021-07-05 21:31:37

    一、实验目的 Quartus || 原理仿真 课本P195代码实验图 二、实验内容 按照实验代码进行仿真操作 三、实验代码 module shiftrne(R,L,E,w,Clock,Q); parameter n=8; input [n-1:0]R; input L,E,w,Clock; output reg [n-1:0]Q; integer k; always @(posedge Clock) if(L) Q<=R;

  • Go 1.16新功能特性不完全前瞻2021-07-05 20:52:22

    Go 1.16将于2021年2月发布。目前已经进入freeze状态,即不再接受新feature,仅fix bug、编写文档和接受安全更新等。 目前Go 1.16的发布说明尚处于早期草稿阶段,但Go团队成员正在致力于编写发布说明。Go 1.16的完全特性列表说明还得等真正发布前才能得到。如今要了解Go 1.16功能特性都有

  • C语言程序执行时间计时方法汇总2021-07-05 09:02:21

    C语言中程序计时方法总结 主流方法共分为如下三种 1. clock()函数 需要引用头文件 “time.h” 注:clock函数返回从开始这个程序到调用的 clock() 函数之间的CPU时钟计时单元(clock tick)数。返回值类型是 clock_t。其中 CLOCKS_PER_SEC 是一个常数,表示一秒钟有多少个时钟数。 代码:

  • linux starttimer Timerevent函数用法2021-07-03 19:00:34

    官方小册子在此    startTimer是开始一个计时器,返回计时器的序号,如果返回0表示他没有开启计时器,一个计时器事件按时间间隔发生(毫秒级)直到她被杀死,如果间隔是0,这个如果这里没有系统事件就会发生,一次。当计时器触发时调用QTimerEvent函数,    QTimer返回的是触发该类的计时器编

  • times函数的意义2021-07-02 23:33:47

       curren_time是tms结构体的实体。 struct tms   {     clock_t tms_utime ;          /* User CPU time.  用户程序 CPU 时间*/     clock_t tms_stime ;          /* System CPU time. 系统调用所耗费的 CPU 时间 */     clock

  • 统计一段代码的运行时间2021-07-01 22:01:57

    auto start = std::chrono::steady_clock::now(); // 记录经过此时的时间 // ... 运行一段代码 auto use_time = std::chrono::duration_cast<std::chrono::milliseconds>(std::chrono::steady_clock::now() - start); double timestamp = (double)us_time.count() / 1000.0;

  • 时钟偏斜和时钟抖动2021-07-01 13:33:38

       系统时序设计中对时钟信号的要求是非常严格的,因为我们所有的时序计算都是以恒定的时钟信号为基准。但实际中时钟信号往往不可能总是那么完美,会出现抖动(Jitter)和偏移(Skew)问题。 所谓抖动(jitter),就是指两个时钟周期之间存在的差值,这个误差是在时钟发生器内部产生的,和晶振或

  • 2021-06-302021-06-30 13:02:30

    https://www.bilibili.com/video/BV14L411p7Zn/ 本实验为quartus ii 与modelism联合仿真的实验 代码为module upcount (Resetn, Clock, E, Q;input Resetn, Clock, E; output reg [3:0] Q; always @(negedge Resetn, posedge Clock)if(!Resetn) Q<= 0; else if (E) Q<=Q+ l;

  • 2021-06-292021-06-29 22:57:59

    1.实验目的:下载Quartus软件与Modlsim并进行Verilog  Modelsim仿真 2.实验内容:参考老师发的视频的代码,然后用Quartus ii 和Modlsim进行仿真 3.实验原理:按照视频上的内容,书写和运行代码,完成仿真操作。 4.实验工具Quartus软件、Modlsim软件、pc机。 5.实验截图: 6.实验代码:modu

  • lua5.4.2(使用Lua54程序) 与 Lu2.0(使用OpenLu程序)速度比较2021-06-29 21:58:02

    lua5.4.2(使用Lua54程序) 与 Lu2.0(使用OpenLu程序)速度比较 lua5.4.2(Lua54程序):请从Lua官方网站下载。 Lu2.0(OpenLu程序):http://www.forcal.net/xiazai/lu2/openlu64.zip 从网上看到,C/C++调用Lua脚本函数的效率较低,似乎只有Lua脚本内部调用函数效率的几分之一。在这个方面Lu脚本有明

  • 上课教材数字逻辑基础与Verilog设计 P191 图6.352021-06-29 18:57:28

    一、实验要求:用Modlsim进行设计仿真实验 二、实验内容 1、实验书本及实验题目:上课教材数字逻辑基础与Verilog设计 P191 图6.35 2、实验主要内容:设计一个简单的时序电路。 三、相关图 电路图 状态表图 系统框图 状态图 四、实验工具 pc机、moelsim软件等 五、实验视频 htt

  • 参照STM32时钟树配置STM32CubeMX Clock Configuration(STM32L011G4U6为例)2021-06-29 12:52:59

    微控制器(处理器)的运行必须要依赖周期性的时钟脉冲来驱动——往往由一个外部晶体振荡器提供时钟输入为始,最终转换为多个外部设备的周期性运作为末,这种时钟“能量”扩散流动的路径,犹如大树的养分通过主干流向各个分支,因此常称之为“时钟树”。在一些传统的低端8位单片机诸如51,AVR,PIC

  • linux signals2021-06-28 20:59:46

    linux signals Signal NameNumberDescriptionSIGHUP1Hangup (POSIX)SIGINT2Terminal interrupt (ANSI)SIGQUIT3Terminal quit (POSIX)SIGILL4Illegal instruction (ANSI)SIGTRAP5Trace trap (POSIX)SIGIOT6IOT Trap (4.2 BSD)SIGBUS7BUS error (4.2 BSD)SIGFPE8Floating poin

  • 同步信号和clock边沿同时出现的问题2021-06-28 16:34:56

    clock是时钟信号,sig是关于clock的同步信号,波形完全一致。 分如下两种情形,阻塞和非阻塞赋值 always @(clock) sig = ~sig @(clock) sig <= ~sig initial begin @(clock); //line1 $display("1===%0t",$time); @(sig); //line2 $display("2======%0t",$

  • 阻塞赋值运用2021-06-28 14:58:17

    阻塞赋值与非阻塞赋值 一、实验目的:根据书中所给图片编写相应的独热码代码,并验证。 在Verilog语法中,阻塞赋值和非阻塞赋值是非常难理解的一个概念,尤其是对于初学者,往往搞不懂何时使用非阻塞赋值及何时使用阻塞赋值才能设计出符合要求的电路。 二、概念解析 阻塞赋值 所谓阻塞

  • 线性寄存器的仿真图观察计数序列2021-06-28 14:57:58

    一.打开quartusII,建立一个工程(注意,此文件名字一定要与module的名称一样),并且建立一个verilog文件来装载代码。如图所示: 二.输入书上的verilog代码,保存并且运行,若是有错误就直接改正,没有错误就可以继续下一步,如下图: 三.与modulesim进行关联并且运行,如下图: 四.得到仿真图,然

  • c++编写计时函数2021-06-15 00:00:37

    auto now = std::chrono::steady_clock::now(); std::chrono::duration<double> diff = std::chrono::steady_clock::now() - now; std::cout << "now: " << diff.count() << std::endl; std::this_thread::sleep_for(std:

  • 多文件结构和编译预处理命令2021-06-13 12:31:46

    C++程序的多文件结构 给大家看了很多比较完整的C++程序的例子,大家可能发现了,它们的结构基本上可以分为三个部分:类的声明、类的成员函数的实现和主函数。因为代码比较少,所以可以把它们写在一个文件中,但是我们实际进行软件开发时,程序会比较复杂,代码量比较大。 一个程序按结构至

  • Halcon错误 #2021: System clock has been set back.2021-06-11 15:06:18

    Halcon错误 #2021: System clock has been set back. This error can only occur when the FEATURE line contains an expiration date. 解决方法: 参考下面两个链接应该可以解决,避免重装系统太麻烦 https://www.51halcon.com/thread-727-1-1.html https://blog.csdn.net/yym16600

  • 网页钟表小设计(网页小练习)2021-06-09 18:54:01

    实现效果(截图为静态图): ## 代码实现: <!DOCTYPE html> <html lang="en"> <head> <meta charset="UTF-8"> <title>时钟</title> <style> .clock{ width: 600px; height: 600px;

  • golang 中时间差的计算2021-06-05 23:04:42

    操作系统提供两种时钟:“wall clock” 墙上时钟 和 “monotonic clock” 单调时钟, wall clock 会受到时钟同步的影响,用于显示时间。 monotonic clock 用于计量时间,例如 时间大小比较,时间差计算。不会时钟重置的影响。 例如,下面代码中,计算耗时,耗时一直是正的,20ms。 即使 wall clock

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有