ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

同步信号和clock边沿同时出现的问题

2021-06-28 16:34:56  阅读:211  来源: 互联网

标签:同步 0t clock line2 line1 sig 边沿 time


clock是时钟信号,sig是关于clock的同步信号,波形完全一致。

分如下两种情形,阻塞和非阻塞赋值

always @(clock)
 sig = ~sig
@(clock)

sig <= ~sig
initial begin
    @(clock);  //line1
    $display("1===%0t",$time);
    @(sig);     //line2
    $display("2======%0t",$time);
    @(sig);
    $display("3======%0t",$time);
    $finish;
  end

如果是非阻塞赋值,line1和line2同一时间触发;如果是阻塞赋值,line2在line1的下一个边沿触发

https://blog.csdn.net/tgz949494/article/details/79388454?utm_source=blogkpcl11

标签:同步,0t,clock,line2,line1,sig,边沿,time
来源: https://www.cnblogs.com/liutang2010/p/14944998.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有