ICode9

精准搜索请尝试: 精确搜索
  • HDLBits(一)(边刷边学)2022-08-20 19:02:57

    1 开始 1.1 输出逻辑1 直接assign one = 1'b1 ,给output one赋值1'b1,表示1bit数值,b=二进制,o=八进制,d=十进制,h=十六进制 1.2 输出逻辑0  可以直接提交,因为在Quartus中,输出端口默认赋值为0,但使用默认赋值使危险的,不推荐的 或者 assign zero = 1'b0; 2.Verilog语言 2.1 基础 2.1.1 简

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有