ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

FPGA练习:计数器

2021-03-05 18:32:24  阅读:201  来源: 互联网

标签:reset count begin enable FPGA clock 练习 计数器 reg


模块示意图如下:
在这里插入图片描述

端口配置清单:
在这里插入图片描述

verilog 代码:

module counter(
	clock,
	reset,
	enable,
	count);

	input clock;
	input reset;
	input enable;
	output [3:0] count;

	wire clock;
	wire reset;
	wire enable;
	reg [3:0] count;

	always @ (posedge clock) 
	begin 
		if (reset == 1) 
		begin 
			count <= 0;
		end
		else if (enable == 1) 
		begin 
			count <= count + 1; 
		end 
	end
	
endmodule 


在 always 语句块中,修改 reg 端口的值要用 <= 符号。

测试代码如下:

module counter_test();

	reg clock;
	reg reset;
	reg enable;
	wire [3:0] result;

	initial begin
		clock = 1;
		reset = 0;
		enable = 0;
		#5 reset = 1;
		#10 reset = 0;
		#10 enable = 1;
		#150 enable = 0;
		#5 $finish;
	end
	always begin
		#5 clock = ~clock;
	end
	
	initial begin
		$dumpfile ("... .../counter_test.vcd");
		$dumpvars;
	end

	counter counter1(
		.clock(clock),
		.reset(reset),
		.enable(enable),
		.count(result));

endmodule 


测试一下,看看波形图:

在这里插入图片描述

比较一下代码,和预期一样呀,真不错!

标签:reset,count,begin,enable,FPGA,clock,练习,计数器,reg
来源: https://blog.csdn.net/quicmous/article/details/114407003

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有