ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

奋斗的小孩系列 FPGA学习altera系列: 第十三篇 频率计设计

2021-02-14 10:00:22  阅读:176  来源: 互联网

标签:系列 FPGA 方波 设计 占空比 频率计


奋斗的小孩系列 FPGA学习altera系列: 第十三篇 频率计设计

作者:奋斗的小孩 郝旭帅(转载请注明出处)

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。

今天给大侠带来“FPGA学习系列altera"系列,持续更新。

此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus 新版本已更新到20+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

第十三篇 频率计设计

对于每一个的小实验,我们都可以把它看作是一个小项目,逐步的去分析,设计,调试,最后完成功能。下面我们就开始我们的“小项目”。

项目名称:频率计

具体要求:检测方波的频率和占空比。

通过分析上述的“项目名称”和“具体要求”,我们可以设计出如下的架构:

wave:方波输入

freq:测试出的方波频率

duty_cycle:测试出的方波占空比

wave是经过处理后的数字信号,不是外界的模拟信号。

系统设计:

1.工程的名称:freq_meter。

2.测试出高电平的时间和低电平的时间,然后经过计算得出频率和占空比。

标签:系列,FPGA,方波,设计,占空比,频率计
来源: https://blog.csdn.net/qq_40310273/article/details/113805932

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有