ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

【短篇】也用verilog头文件

2020-11-21 21:33:40  阅读:450  来源: 互联网

标签:短篇 头文件 常量 代码 节选 学长 操作码 verilog


在写单周期cpu的cu和alu时,涉及到命令解码的代码中,会有大量的命令码或者操作码常量,不仅影响可读性,而且容易出错。

参考了上一届的学长代码,发现了一个比较简洁的写法,即是将这些常量用 `define 定义,写在头文件里,在要用到这些常量的文件中 `include 即可。

前后对比:

1

2

macro.vh 节选:

3

标签:短篇,头文件,常量,代码,节选,学长,操作码,verilog
来源: https://www.cnblogs.com/stevechekblain/p/14017359.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有