ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

UVM sequence基础

2020-02-02 14:42:15  阅读:291  来源: 互联网

标签:调用 启动 default sequence 基础 start 实例 UVM


sequence概述

sequence的作用是将测试数据的产生从driver内剥离出来。通过factory和config_db机制,可以在不同的测试用例内将需要的sequence设置成sequencer main_phase内的default_sequence,以发出不同的激励。

sequence启动

sequence的启动方式可以采用start任务直接启动、也可以采用default_sequence方式启动。
调用start任务启动如下图:
start直接调用
先实例化在通过default_sequence启动如下图:
先实例化再利用default_sequence启动
最常见的启动方式,不实例化调用:
不实例化调用

muyiwushui 发布了7 篇原创文章 · 获赞 9 · 访问量 1万+ 私信 关注

标签:调用,启动,default,sequence,基础,start,实例,UVM
来源: https://blog.csdn.net/muyiwushui/article/details/104144310

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有