ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

断言stable

2019-10-17 13:01:59  阅读:931  来源: 互联网

标签:周期 检查 断言 电平 信号 stable 时钟


$stable是SVA提供的3个内嵌函数之一,用于检查信号的边沿变化,当信号/表达式的最低位不发生变化时返回真值。

stable_a用于检查信号a不变的情况,它只在“a在当前时钟周期为一个电平,在前一个时钟周期也为同样电平”的情况下成功

 

sequence stable_s;
@(posedge clk) $stable(a);
endsequence

stable_a: assert property(stable_s);

标签:周期,检查,断言,电平,信号,stable,时钟
来源: https://www.cnblogs.com/camellia3371----/p/11691374.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有