ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

【FPGA学习笔记】VL40 占空比50%的奇数分频

2022-07-12 13:00:27  阅读:169  来源: 互联网

标签:分频 cnt FPGA clk neg negedge pos rst 占空比


设计一个同时输出7分频的时钟分频器,占空比要求为50%

注意rst为低电平复位

 

 

 

信号示意图:

 

 

 

 

 

 

alt clk_pos是周期为7且在clk_in上升沿翻转的信号, clk_neg是周期为7且在clk_in下降沿翻转的信号。

 

代码

复制代码
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 `timescale 1ns/1ns   module odo_div_or    (     input    wire  rst ,     input    wire  clk_in,     output   wire  clk_out7     );   //*************code***********//     reg clk_neg, clk_pos;     reg [2:0] cnt;           always@(posedge clk_in or negedge rst) begin         if(~rst)             cnt <= 0;         else             cnt <= cnt==60: cnt+1;     end           always@(posedge clk_in or negedge rst) begin         if(~rst)             clk_pos <= 0;         else             clk_pos <= cnt==3||cnt==6? ~clk_pos: clk_pos;     end           always@(negedge clk_in or negedge rst) begin         if(~rst)             clk_neg <= 0;         else             clk_neg <= cnt==3||cnt==6? ~clk_neg: clk_neg;     end       assign clk_out7 = clk_neg|clk_pos; //*************code***********// endmodule

标签:分频,cnt,FPGA,clk,neg,negedge,pos,rst,占空比
来源: https://www.cnblogs.com/mahaidong/p/16469670.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有