ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

ISE软件及Modelsim入门篇

2021-12-27 23:02:01  阅读:261  来源: 互联网

标签:仿真 Modelsim dll 入门篇 文件夹 安装 ISE


ISE软件及Modelsim入门篇

1 Win10下安装ISE14.7

1.填坑1
无法加载license, 点击manage license没有反应。
**直接通过CMD来加载**
很多与Win8/Win8.1不兼容的软件都是因为“浏览文件”的窗口控件不兼容,表现为:点击类似于“浏览”的按钮时候会出现闪退或者“xxx停止工作”,很不幸地,Xilinx ISE在Win8/Win8.1下面安装一切顺利,但是license manager出现上述闪退问题。

解决办法:
1.手工在C盘根目录下建立一个名为“.xilinx”的文件夹(使用命令行:MD C:.xilinx);
2.把安装包里面crack文件夹下的“xilinx_ise.lic”(此文件也可网上找)cpoy至该文件夹下;
3.打开license manager即可;(如果还是打不开就不用管直接用即可)

2.填坑2 
解决win10的兼容性问题。

  • 1.打开安装路径中lib的nt64文件夹,如我的路径是C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64,找到libPortabilityNOSH.dll和libPortability.dll,将libPortability.dll重命名为libPortability.dll.orig,将libPortabilityNOSH.dll复制一份,然后将复制的文件命名为libPortability.dll。
    在这里插入图片描述
    变成如下
    在这里插入图片描述
  • 2.打开安装路径中common的lib的nt64文件夹
    如我的路径是:C:\Xilinx\14.7\ISE_DS\common\lib\nt64,将上一步的中的libPortabilityNOSH.dll复制过来,然后将此文件夹中的libPortability.dll重命名为libPortability.dll.orig,将libPortabilityNOSH.dll重命名为libPortability.dll。
    在这里插入图片描述
    变成
    在这里插入图片描述

2 验证测试

  1. 安装下载器驱动
    已经在ISE的安装目录下,例如我的是 D:\Xilinx\14.7\ISE_DS\common\bin\nt64\digilent
    直接点击双击安装,如果是直接安装过了可以继续安装,只会直接覆盖在重新安装一边而已。
    直接点击 一直yes往下走即可,没有自定义安装的位置。
    由于下载器不一样,验证的方法是不一样的,这一步可以先省略掉是否安装成功下载器驱动,在后面能否下载成功可直接检验

  2. JTAG下载测试

  • 打开一个路径中没有中文或空格符的 project。
  • 点击如下方框进入IMPACT:
    在这里插入图片描述
  • 进入如下IMPACT界面点击Boundary Scan并右击选择initial---->看是否检测到FPGA芯片
    在这里插入图片描述
    检测到如下:
    在这里插入图片描述
    如果没有检测到,检查一下下载器的转接头,排线以及开发板是否上电等。
  • 双击Xilinx芯片,并选中.bit文件
    在弹出的SPI FLASH对话框里选择NO,并右击选择Progrom
    在这里插入图片描述
    下载完成后,界面显示Program Successed
    在这里插入图片描述
    以上就说明程序下载完成,说明开发板和下载器工作正常

3 安装Modelsim

Mentor 公司的 Modelsim 软件是业界最优秀的 HDL 语言仿真软件,提供个性化图形界面和用户接口,编译仿真速度快,而且编译的代码与平台无关,是 FPGA/ASIC 设计的首选仿真软件。
仿真目的:使用仿真主要目的是验证功能是否与设想的一致,又分为功能仿真和时序仿真,功能仿真是不带芯片时间延迟的仿真方法,主要用来验证功能。时序仿真加入了时间延迟,可以考察在一定条件下是否能满足设想。
这个我没有什么坑,完全可根据一步一步走

4 编写testbench及仿真–modelsim

主要了解如何编写激励文件,常用的系统任务和系统和函数,并实现在Modelsim软件里仿真。
直接在Modelsim上新建文件夹及工程,进行编译然后仿真。
1 在工程里添加RTL设计文件和仿真文件
在这里插入图片描述
2 点击编译:右键编译或者工具栏上编译
在这里插入图片描述
3 点击仿真并选择仿真文件
在这里插入图片描述
4 添加wave波形
在这里插入图片描述
5 点击run–在软件底部 run 1ms
得到如下波形:
在这里插入图片描述
常见重要的系统任务和系统函数:

  • 显示任务命令: $display: $write: $monitor $strobe
  • 模拟控制任务:$stop; $finish;
  • 模拟时间函数:$time; $random; $readmenb;

5 ISE工程建立及modelsim仿真验证

在这里我直接是利用已知的例程,可以明显发现 设计文件和测试文件的顶层文件分别是设计文件和_tb文件。
一个重要的坑就是,由于我之前Modelsim放的Xilinx_lib文件夹位置不对,所以在这要重新选择一下。
在这一定要修改一下,否则是调用不了Modelsim仿真程序的,,在这里我对应的是我自己位置,在改的时候对应你自己的位置
在这里插入图片描述
最后功能仿真程序如下所示:
在这里插入图片描述
以上为刚入手ISE和ModelSim软件的过程,后面继续学习熟练

标签:仿真,Modelsim,dll,入门篇,文件夹,安装,ISE
来源: https://blog.csdn.net/li_kin/article/details/122182322

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有