ICode9

精准搜索请尝试: 精确搜索
  • 机器人仿真笔记[2]-基于Webots的两轮机器人2022-09-15 01:02:32

    使用docker镜像 docker pull dorowu/ubuntu-desktop-lxde-vnc:latest docker run -it -p 8070:8070 -v /Users/workspace/Downloads/hello:/tmp --privileged=true dorowu/ubuntu-desktop-lxde-vnc:latest /bin/bash 这个镜像可以通过浏览器访问桌面 创建自己的机器人概述 [https:

  • 机器人仿真笔记[1]-快速体验2022-09-12 01:02:16

    机器人仿真工具:Gazebo [https://zhuanlan.zhihu.com/p/32788790] Gazebo:开源,是ROS的默认仿真器,支持Bullet和ODE引擎,由OSRF(Open Source Robotics Foundation)维护(也是现在ROS的维护者),因此对于ROS的兼容性好。Gazebo中的模型格式是基于XML的SDF(Simulation Description Format),这

  • vr电网感应电伤害事故模拟还原教学平台的功能及好处-深圳华锐视点2022-09-09 19:01:17

      工业4.0时代,随着电力架构和电力技术不断升级更新,电力供能已覆盖我国全疆域,将VR虚拟仿真技术应用到电力实操技能培训中,工人带上VR眼镜后,如同身临其境般,将日常电厂作业场景形象逼真展示在眼前,对设备、器具和安全防护工具触手可及,获得高逼真的作业流程演练。有效避免各类电力安全

  • Visual Components软件典型功能描述2022-09-09 00:32:29

    1、即点即用,即插即用 vc提供大量的组件模块,组件都已经赋子行为和渲染,看起来复杂的模拟场景,可以通过简单拖拉组合,即可成为一条运动的仿真。节省更多的时间,让布局更灵动。 2、PLC功能 过去,PLC程序的调试都是必须等到所有的设备都到场安装好了之后再联机调试。通过此软件,PLC设备通过

  • Vivado功能仿真2022-09-08 14:04:22

    1、添加TestBench文件   在 Source框,点击+号,在弹窗中选择Add or create simulation source,点击Next             在弹窗中点击Create File,在弹窗中修改File name,点击OK ,点击Finish      在弹窗中点击OK,点击YES   在Source --> Simulation Sources中可以找到tb_led

  • ABC法、蒙特卡罗法,帕累托法2022-09-01 07:00:40

    ABC,activity based costing 基于活动的成本计算法,主要用于对现有流程的描述和成本分析。与价值链分析法类似,将现有的业务进行分解,找出基本活动。基于活动成本分析法着重分析各个活动的成本,特别是活动中所消耗的人工、资源等。 蒙特卡罗法,项目仿真模拟分析方法,采用将不确定的因素

  • 智慧城市数字孪生有哪些优点?虚拟仿真项目首选广州华锐互动2022-08-02 17:32:10

      广州华锐互动数字孪生系统为企业数据提供可视化、三维化整合体现,辅助企业精准、科学、合理决策。数字孪生系统可用于智慧工厂、智慧楼宇、智慧园区、智慧产品等多个领域。数字孪生智慧城市给城市治理带来新变化。从模型叠加数据构建的数字孪生城市在支持城市治理方面具有几个

  • Keil 仿真时出现 encountered an inproper argument 报错2022-08-01 17:02:17

        Keil 在仿真的时候,经常出现 encountered an inproper argument 的报错。如下图:   经测试,有如下解决方案: 将工程路径全部改成英文字符。 退出仿真前取消所有断点(不推荐)。 改一下工程名字(必须纯英文) - 编译(此时仿真就不报错了) - 可以再改回原来的名字。  

  • FPGA学习-1,ModelSim 仿真2022-07-16 16:33:14

    参考 https://blog.csdn.net/xy_z_H/article/details/124825179 补充 1、用到的两个文件(手敲一遍) BCD.v module BCD(A,B , Sum, Cout); input [3:0]A , B; output [3:0]Sum; output Cout; wire [4:0]Temp; assign Temp =A + B; assign {Cout , Sum} = (Temp > 9)?Temp

  • 电力系统分析设计仿真 基于遗传算法的最优潮流2022-07-15 15:36:01

    电力系统分析设计仿真 基于遗传算法的最优潮流 图为以IEEE30节点的输电网为研究对象 以系统发电成本最小为目标函数 以机组出力为优化变量 通过优化求解得到最佳机组出力 YID:5950673486068810披坚执锐GD

  • 光伏逆变器并网matlab/simiulink仿真模型 利用MATLAB搭建光伏并网模型验证逆变控制策略的可行性2022-07-15 13:02:46

    光伏逆变器并网matlab/simiulink仿真模型 有参考lun文和模型说明 利用MATLAB搭建光伏并网模型验证逆变控制策略的可行性。 对仿真结果进行优化,LCL 滤波器消除逆变时引起的谐波分量,使谐波畸变率THD低于5%。 实现控制响应既快速高效,又可以提高系统的动态性和稳定性,保证并网电能的质

  • 基于S7-200 PLC组态王组态和触摸屏的洗衣机控制系统的设计2022-07-15 12:00:44

    基于S7-200 PLC组态王组态和触摸屏的洗衣机控制系统的设计 熟悉工业电气控制系统的组成,熟悉PLC系统的设计。 全自动洗衣机能够根据衣物的质地、数量及脏污程度 来实现标准洗涤、柔顺洗涤等多功能模式。 各个功能 模式均包括洗涤、漂洗、排水、脱水等过程,各个 过程的时间设定都与不

  • 基于IEEE33的主动配电网优化,采用IEEE33节点配电网进行仿真,搭建了含风光,储能,柴油发电机和燃气轮机的配电网经济调度模型2022-07-15 11:33:44

    基于IEEE33的主动配电网优化,采用IEEE33节点配电网进行仿真,搭建了含风光,储能,柴油发电机和燃气轮机的配电网经济调度模型,以总的运行成本最小为目标,考虑了储能以及潮流等约束,采用粒子群算法对模型进行求解,得到了各个分布式电源的运行计划。 YID:1350659687081783ocean

  • cruise模型,增程汽车仿真模型,串联混动,基于cruise/simulink联合仿真。 实现增程器多点控制策略,及电制动优先的能量回收策略2022-07-14 12:34:45

    cruise模型,增程汽车仿真模型,串联混动,基于cruise/simulink联合仿真。 实现增程器多点控制策略,及电制动优先的能量回收策略。 提供cruise模型、控制策略文件以及策略说明文档,方便您在模型基础上进行扩展。 YID:6255640342354950王浮生不怕生

  • 2. UVM -- phase机制与UVM验证平台的运行2022-07-10 18:32:18

    2. UVM -- phase机制与UVM验证平台的运行 2.1. UVM phase机制 phase机制可以将 UVM仿真阶段层次化,即 使各个phase按先后顺序执行,同时也使处于同一phase中的层次化组件之间按顺序执行 ,达到同步仿真过程的效果。 phase机制主要包括以下三个主要部分,并按如下顺序进行: Build Phases

  • vcs xprop 仿真的一些理解2022-07-04 16:01:38

    本篇随笔参照vcs user guide,并进行了一个小实验用以加深理解。 在芯片前端开发的后期,验证工程师需要进行xprop仿真验证,之前我只是简单的打开xprop的功能,去回归一些case看会不会fail并进行debug。但是对为什么要进行xprop验证理解的并不是很清楚。 下面是一些我自己的理解: 1. xprop

  • MCDF实验12022-07-03 09:34:34

    ​ 目录 从Verilog到SV的进场 任务task 和 函数function 数组的使用 验证结构 从Verilog到SV的进场 1. 修改tb1.v 为 tb1.sv ,编译仿真,查看仿真行为是否同tb1.v的仿真行为一致?这说明了什么呢? 没有变化,仿真行为一致,说明 .sv文件的编译和   .v文件一样 2. 将tb1.sv中的信号变量类

  • FDTD仿真例子2022-06-27 17:02:58

    平面光源的R和T https://optics.ansys.com/hc/en-us/articles/360042089573-Reflection-and-transmission-calculations-using-a-planewave 等离子体超材料吸收器 https://optics.ansys.com/hc/en-us/articles/360042096033

  • carsim使用方法2022-06-21 00:32:29

    汽车在opendrive车道中的仿真。 构建仿真测试场景的步骤: 第1步: toos-VS Scence Builder. 第2步:  

  • C#与西门子PLC S7-1500 S7协议通信(1) 环境搭建2022-06-20 22:02:28

    1.搭建环境 博图V16 PLC仿真软件. VS2019 2.创建一个PLC     3.使用博图V16连接PLC并写入一些数据用于测试  1.新建项目      2.打开项目视图   3.由于是仿真所以需要打开<块编译时支持仿真>。 路径为 右键项目文件=》属性=》保护=》块编译时支持仿真。 这边记得单独编

  • Multisim中仿真出现仿真错误的解决方法:增加相对错误容限值法2022-06-19 22:33:29

    在我们使用multisim进行电路分析仿真时,可能会出现无法仿真分析的错误情况,一般由于没有设计参考地等一些原则性的问题,但有时会发现我们的电路设计没有问题,依然会提示仿真错误,如下图: 这时有可能是仿真系统中的内部参数设定不匹配或是频率过高无法仿真,对于此类问题我们可以通过以下

  • 【昊泽爷爷】六一儿童节的礼物——学做简单机器人的工作台2022-06-01 07:00:37

    今天是六一儿童节,赶了三天工,送给七岁孙子张昊泽的节日礼物终于完成了,并简单地起了个名称,叫做《昊泽爷爷工作台》,意思为昊泽与爷爷一起学做智能机器人的地方。 为了能一起做做好玩的机器人,起了个“雕爷学编程”的网名,勉为其难的IP。只有一点点几十年前儿时做过矿石收音机的基础

  • PCB培训(1)ARM处理器8层板PCB叠层设计与PCB阻抗仿真2022-05-31 16:01:24

          AM3358核心板@德力威尔PCB培训8层板实例   摘要    本文为德力威尔电子工程师培训中心PCB培训内部核心资料,详细介绍了1Ghz主频ARM Cortex_A8微处理器AM3358核心板,8层PCB堆叠设计和PCB阻抗仿真;包含了PCB制板要求、PCB关键参数、PCB板材选择、PCB板层堆叠、PCB阻抗仿真

  • 【仿真】Carla介绍与基本使用 [1] (附代码 基础版)2022-05-27 12:04:02

    0. 参考与前言 主要介绍无人驾驶的仿真环境CARLA,开源社区维护,以下为相关参考链接: Carla官方文档 建议后续找的时候 先按好版本号,有些功能/api 是新版本里有的 Carla官方github Youtube Python+Window 0.9.5 主要是用Carla环境,使用TensorFlow搭建简单的自我学习自动驾驶车辆【

  • Apollo自动驾驶虚拟仿真赛笔记[1]-环境搭建2022-05-27 01:00:48

    前置安装 安装ubuntu20.04 安装visual studio code 安装nvidia显卡驱动 ubuntu自带的软件与更新中->附加驱动(记得关闭bios的secure boot) 查看安装情况nvidia-smi 安装docker 网络环境不好,这里直接提供安装包,安装包中有安装说明 下载地址1[https://download.csdn.net/download/sl

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有