ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

用户自定义和枚举数据类型

2021-12-19 21:02:01  阅读:136  来源: 互联网

标签:自定义 数据类型 state 枚举 bit display


用户自定义和枚举数据类型

1. 用户自定义类型

用户自定义类型关键字为typedef,例如

typedef int unsigned uint;	//unit是自定义的数据类型

unit	a, b;	//用自定义的数据类型声明两个变量

看一个例子

module type1_tb;
    typedef bit bit_t;  //自定义一个bit_t数据类型
    bit_t   a = 0;

    initial 
        $display("\n\t the value of a is %b",a);
endmodule


module type2_tb;
    bit_t   b = 1;

    initial
        $display("\n\t the value of b is %b", b);
endmodule

在这个例子中,我们只在模块type1_tb自定义了一个bit_t的类型,在模块type2_tb中直接使用bit_t声明变量,看看结果会是怎样的

结果显示变量类型是未知的,如果我们把模块type2_tb注释掉,会不会还有这个结果

结果成功打印

这说明,自定义的数据类型bit_t确实是成功被定义,但他只作用于模块type1_tb,因为我们并没有在模块type2_tb再次定义

那如果想要模块type2_tb也能成功打印,可以在模块type2_tb重新进行一个自定义,像这样

module type1_tb;
    typedef bit bit_t;  //自定义一个bit_t数据类型
    bit_t   a = 0;

    initial 
        $display("\n\t the value of a is %b",a);
endmodule


module type2_tb;
    typedef bit bit_t;  //自定义一个bit_t数据类型
    bit_t   b = 1;

    initial
        $display("\n\t the value of b is %b", b);
endmodule

也可以用之前的编译单元域$unit

package definition;
     typedef bit bit_t;  //自定义一个bit_t数据类型
endpackage

module type1_tb;
    bit_t   a = 0;

    initial 
        $display("\n\t the value of a is %b",a);
endmodule

import	definition :: *;	//通配符导入到$unit

module type2_tb;
    bit_t   b = 1;

    initial
        $display("\n\t the value of b is %b", b);
endmodule

可以看到,用户自定义类型可以局部定义,也可以进行共享定义

为了增加源码的可读性,一般用户自定义的名称后加_t,用以区分

2. 枚举数据类型

2.1 基本内容

枚举数据类型的格式为:

enum {标签名1, 标签名2, ...} 变量名;

枚举可以理解为给标签名赋值,或给这个给数值一个标签

如果没有明确给定数据类型,枚举中的数值是int类型,且数值依次是0, 1, 2…

这个情况是不是很像有限状态机对状态的定义,就像这样:

//状态定义
parameter	S0 = 3'd0;
parameter	S1 = 3'd1;
parameter	S2 = 3'd2;
parameter	S3 = 3'd3;
parameter	S4 = 3'd4;

改成枚举方式表示,会简单很多

//状态定义
enum {S0,S1,S2,S3,S4} state_t;

枚举数据类型中的标签名也可以用向量的方式表示,可以进一步简化

//状态定义
enum {S[5]} state_t;

这三个状态定义是等价的

不仅如此,枚举类型中的数值、数值类型、数值宽度也是可以自己定义的

比如FSM状态定义时,可以用独热码(ont-hot),可以直接定义数值

//状态定义
enum bit [2:0] {
    S0 = 3'b001,
    S1 = 3'b010,
    S2 = 3'b100
} state_t;

这里要注意一点,如果我们给定的位宽为3,那么给数值时不能超出位宽范围

2.2 枚举类型的赋值

枚举类型只可以进行下列赋值:

  • 枚举类型列表中的一个标签
  • 同类枚举类型的其他变量(用同样枚举类型声明的变量)
  • 通过cast转换成枚举类型变量的数值

用一个枚举类型来解释这点

/*****变量声明*****/
typedef enum {one, two, three}state_t;
state_t state, next_state;
int info;

/*****赋值*****/
state = one; //用枚举列表中的标签赋值

next_state = state;	//同类枚举类型的其他变量

$cast(next_state, state + 1); //通过cast转换成枚举类型变量的数值

这里有一点需要说明,枚举列表中的标签其实是代表一个数值(这点在下文会提到),在这个例子中,数值为int型,和info的数据类型相同,所以存在info = state + 1;的写法,但是,state = info + 1是不可行的,因为枚举赋值并没有给出这种形式

此外,state =state + 1; state ++等都是不允许的

2.3 枚举类型专用任务和方法

<枚举变量名>.first:返回指定变量列表中的第一个成员的值

<枚举变量名>.last:返回指定变量列表中的最后一个成员的值

<枚举变量名>.next():返回指定变量列表中后面第N个成员的值,如果到了列表末尾会返回列表开头

<枚举变量名>.prev():返回指定变量列表中前面第N个成员的值,如果到了列表开头会返回列表末尾

<枚举变量名>.num:返回变量的枚举列表中元素个数

<枚举变量名>.name:返回枚举变量中代表这个值的字符串

下面依次对这几个情况进行仿真

源代码如下:

module enum_tb;
    enum {s[9]} state_t;
    
    initial begin
       state_t = s5; 
    end
    
    initial begin
        $display("\n\t the initial state_t is %0d", state_t); 
        $display("\n\t <变量名>.first is %0d", state_t.first); 
        $display("\n\t <变量名>.last is %0d", state_t.last);
        $display("\n\t <变量名>.prev(3) is %0d", state_t.prev(3)); 
        $display("\n\t <变量名>.prev(7) is %0d", state_t.prev(7));
        $display("\n\t <变量名>.next(3) is %0d", state_t.next(3)); 
        $display("\n\t <变量名>.next(7) is %0d", state_t.next(7));
        $display("\n\t <变量名>.num is %0d", state_t.num);
        $display("\n\t <变量名>.name is %s", state_t.name);        
    end
endmodule

运行结果如下

可以看到,自始至终state_t均为s5,这几个操作都不会改变state_t本身

此外,由<变量名>.prev(7)<变量名>.next(7)可以看出,这种方式是循环的


本文主要参考《SystemVerilog硬件设计及建模》

标签:自定义,数据类型,state,枚举,bit,display
来源: https://blog.csdn.net/I_learn_code/article/details/122029857

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有