ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

verilog多路数据选择器实现

2021-11-21 15:30:29  阅读:159  来源: 互联网

标签:begin 多路 end seg7 dataout verilog output sel 选择器


实验内容: 有四路数据的输入,通过开关来选择输出哪一路数据,同时使用 LED 指示 灯和数码管来显示输出的数据内容和路数。 用 Verilog 语言设计一个四路数据选择器程序; A,B,C,D 为四路数据输入, sel 为选择端,数码管 DP1 指示选择路数, A 的时候显示 0 , B 的时候显示 1 , C 的时候显示 2 , D 的时候显示 3 , dataout 同时输出该数据。 程序代码: module duolu(A,B,C,D,sel,dataout,ds,seg7); 
input [1:0] A,B,C,D; 
input[1:0] sel;
output [1:0] dataout; 
output ds; 
output [6:0] seg7; 
reg [1:0]dataout;
reg [6:0] seg7;
assign ds=0;
always @(sel)
     case(sel)
     2'b00:
           begin 
              dataout<=A;
              seg7=7'b0111111;
           end
     2'b01:
           begin
              dataout<=B;
              seg7=7'b0000110;
           end
     2'b10:
           begin
              dataout<=C;
              seg7=7'b1011011;
           end
     2'b11:
           begin
              dataout<=D;
              seg7=7'b1001111;
           end
     default:
            begin
               dataout<=A;
               seg7=7'b0111111;
            end
     endcase
endmodule

标签:begin,多路,end,seg7,dataout,verilog,output,sel,选择器
来源: https://blog.csdn.net/m0_53024476/article/details/121454237

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有