ICode9

精准搜索请尝试: 精确搜索
首页 > 其他分享> 文章详细

篇7-UVM ERROR达到一定数量时结束仿真

2021-11-18 15:04:54  阅读:525  来源: 互联网

标签:仿真 quit set max count UVM ERROR


1.UVM_ERROR达到一定数量结束仿真

1.1相关函数

(1) set_report_max_quit_count

(2) set_max_quit_count

 

(3) get_max_quit_count

1.2命令行设置

其中,上面的”NO”表示UVM_MAX_QUIT_COUNT的设置值6不能被后面的设置语句重载;

 

标签:仿真,quit,set,max,count,UVM,ERROR
来源: https://www.cnblogs.com/csjt/p/15572334.html

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有