ICode9

精准搜索请尝试: 精确搜索
首页 > 编程语言> 文章详细

system verilog调用python

2021-01-28 21:07:10  阅读:1193  来源: 互联网

标签:脚本 输出 调用 python 通过 system sv verilog


传统的方法是通过DPI调用C,再用C调用python,转来转去很麻烦。
考虑以下新方法:
1.通过dpi调用C;
2.C通过popen调用脚本,进行输出重定向,讲脚本的输出存放到一个FILE*指向的标准输出;
3.通过fgets函数获取标准输出,作为C的返回值返回给sv;

标签:脚本,输出,调用,python,通过,system,sv,verilog
来源: https://blog.csdn.net/a1552040/article/details/113358327

本站声明: 1. iCode9 技术分享网(下文简称本站)提供的所有内容,仅供技术学习、探讨和分享;
2. 关于本站的所有留言、评论、转载及引用,纯属内容发起人的个人观点,与本站观点和立场无关;
3. 关于本站的所有言论和文字,纯属内容发起人的个人观点,与本站观点和立场无关;
4. 本站文章均是网友提供,不完全保证技术分享内容的完整性、准确性、时效性、风险性和版权归属;如您发现该文章侵犯了您的权益,可联系我们第一时间进行删除;
5. 本站为非盈利性的个人网站,所有内容不会用来进行牟利,也不会利用任何形式的广告来间接获益,纯粹是为了广大技术爱好者提供技术内容和技术思想的分享性交流网站。

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有