ICode9

精准搜索请尝试: 精确搜索
  • 使用示波器和keil仿真逻辑仪分析串口波形2021-11-30 16:01:01

    少年 一、使用软件 & 硬件二、keil仿真使用三、使用真实逻辑仪查看四、总结 一、使用软件 & 硬件 keil5,logic1.1.30和真实逻辑仪(SaleaeLogic16) logic1.1.30及其说明书下载链接 https://pan.baidu.com/s/1B8V3RNnwL-zF2VDHCBYRVw 提取码1111 二、keil仿真使用 打开

  • 半实物仿真测试系统主要功能实现2021-11-29 13:34:04

     主要功能实现 从应用视图看,ETest Studio包括测试设计、测试执行、数据分析和设备管理四大部分。 测试设计包括测试项目管理、交联环境设计、协议设计、测试环境设计、测试用例设计、测试监控设计等内容,测试运行包括运行环境控制、脚本运行控制、监控运行控制、数据中心服务

  • 半实物仿真测试系统系统技术指标及应用案例2021-11-29 13:31:49

     系统的技术指标  支持的操作系统 测试设计部分:Windows7 SP1、Windows8.1、Windows10 1607及以上版本 测试执行部分:Windows7 SP1、Windows8.1、Windows10 1607及以上版本;Linux-RT;VxWorks 6.0。  实时性指标 ETest Studio同时支持非实时与实时的系统仿真测试任务,可以形成ETes

  • [VCS]提高与 X 态相关的仿真和调试的效率2021-11-26 12:32:37

    提高与 X 态相关的仿真和调试的效率 Verilog 和 VHDL 通常用于对数字设计进行建模。设计人员使用 RTL 构造来描述硬件行为。但是,某些 RTL 仿真语义不足以准确模拟硬件行为。因此,与实际的硬件行为相比,仿真结果要么过于乐观,要么过于悲观。 由于这些语义限制,Verilog 和 VHDL RTL

  • 基于kinova jaco2机械臂的仿真模拟2021-11-25 07:31:05

    基于kinova jaco2机械臂的仿真模拟   struct AngularInfo { /** * As an example if the current control mode is angular position the unit will be degree but if the control mode is angular velocity * then the unit will be degree per second. * @

  • 自动驾驶仿真器CARLA_0.9.12安装、使用及存在的问题2021-11-22 18:00:58

    目录 简介安装服务器端客户端 使用流程目前存在的问题1、激光数据转换时间长2、ROS2 python版publish时间长3、bridge中采用单线程进行处理4、bridge无法设置与真实时间同步 简介 作为自动驾驶两大开源仿真器(LGSVL、CARLA)之一,CARLA基本具备了自动驾驶仿真器需要具备的大

  • IPS—线束和软管的受力与动态三维仿真2021-11-22 11:34:35

          线束和管线具有柔性特征,其在外力作用及自身重力影响下的形变和受力状态,很难依靠经验进行判断和确定。这些柔性的形变和受力导致的与周边干涉、摩擦等缺陷,并不易在车辆装配或出厂检测中检出,这就为车辆将来的安全和正常运行埋下隐患。   产品介绍       IPS(Industrial

  • 谁在赚自动驾驶的钱?答案在这里2021-11-22 10:04:11

    或许,只有从自动驾驶初创公司“脱身”的人,才明白,“在自动驾驶领域,尤其是在应用更加垂直的领域,没有人能在短时间内赚钱。” Qasar Younis和Peter Ludwig都是Alphabet Inc.(Waymo母公司)的前员工,他们于2017年创建了名为Applied Intuition Inc.的仿真测试软件公司,面向主机厂、自动驾驶

  • 5 - 时序逻辑代码设计与仿真2021-11-19 22:32:50

    5 - 时序逻辑代码设计与仿真 秒计数器(0-9 循环计数) 把系统时钟进行分频,得到秒脉冲,对秒脉冲进行计数。 con_t 是秒脉冲分频计数器,需要对 24M 来计数,至少需要 25 位。 s_pulse 是秒脉冲尖,con_t 为 0 时为1,1 秒有 24M 个脉冲,但只有 1 个脉冲时 s_pulse=1。 s_num 是秒计数器,看

  • FOC矢量控制及仿真2021-11-19 20:07:09

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言一、FOC矢量控制原理1. FOC矢量控制概念2.电机相关知识简介3.FOC控制 二、FOC矢量控制仿真1.模块介绍2.simulink仿真3.仿真结果 总结 前言 一、FOC矢量控制原理 1. FOC矢量控制概念 根据百度

  • 篇7-UVM ERROR达到一定数量时结束仿真2021-11-18 15:04:54

    1.UVM_ERROR达到一定数量结束仿真 1.1相关函数 (1) set_report_max_quit_count (2) set_max_quit_count   (3) get_max_quit_count 1.2命令行设置 其中,上面的”NO”表示UVM_MAX_QUIT_COUNT的设置值6不能被后面的设置语句重载;  

  • rviz+Arbotix仿真时小车不动2021-11-17 20:35:24

    1、问题背景 配置好了rviz+Arbotix的仿真环境之后,进入仿真,发现无法使用键盘控制小车移动。 但是使用rostopic list命令查看当前的话题列表时显示需要的话题都已经产生,如下图所示: 2、解决方法 首先需要注意,在例程中使用的mrobot_teleop功能包中对应小车前进的按键是i键,而不是命令

  • 篇3-testcase pass的判断2021-11-17 11:33:47

    资料来源: (1) 公众号-杰瑞IC验证 1.统计UVM_FATAL与UVM_ERROR数目 (1) 在uvm_test或env的final_phase里面,检查UVM_ERROR和UVM_FATAL的个数,如果不为0,打印CASE FAIL;否则,打印CASE PASS; (2) 示例中,之所以采用$display,而不是uvm_fatal和uvm_info,是因为二者可能会被屏蔽掉(比

  • ZYNQ-仿真2021-11-16 21:31:20

    `timescale 1ns / 1ps 仿真单位/仿真精度 reg :always wire : assign `timescale 1ns / 1ps module tb_led_twinkle(); //输入 reg sys_clk1; reg sys_rst_n; //输出 wire [1:0] led; //信号初始化 initial begin sys_clk1 = 1'b0; sys

  • 蓝桥杯51单片机定时器计时1秒数码管静态循环显示0到F+Proteus仿真2021-11-16 20:34:32

    蓝桥杯51单片机定时器计时1秒数码管静态循环显示0到F+Proteus仿真 Proteus仿真 实例代码 //数码管静态显示,0到F #include<reg52.h> typedef unsigned char u8; unsigned char code LEDchar[]=</

  • (一)基本门编写和仿真2021-11-16 17:03:48

    8位非门 module inv(A,Y); input[7:0] A; output[7:0] Y; assign y=~A; endmodule module inv_tb; reg aa; //输入的变量都定义成reg wire yy;//输出的变量定义为wire inv inv(.A(aa),.Y(yy)); initial begin aa<=0; //reg变量赋值的时候要使用带箭头的等号

  • C语言银行排队系统仿真2021-11-15 20:59:39

    C语言银行排队系统仿真 语言:C语言 时间:2021年11月27日 要求:银行排队系统仿真 基本功能:模拟一个单队列、多窗口的银行排队系统一天的运行情况,随机生成顾客的到达时间和办理业务所需时间等数据。一天结束后,统计顾客的平均等待时间和窗口的平均占用率。 (1)改变顾客办理业

  • DC-DC boost converter建模与仿真2021-11-14 22:30:27

    dcdc设计中必然会涉及环路稳定性仿真,由于dcdc是一个离散的非线性系统,需要对其进行建模处理,才能做ac analysis。 dcdc建模方式很多,basso的书中提供了一种比较好的方式,他用verilog_a对功率级建模,与晶体管级的OTA一起,组成完整环路,这种建模方式相对简单直观,物理意义明显,而且可以

  • 焊接仿真瞎扯淡2021-11-13 18:00:24

    焊接的种类可根据热源或者材料形式分为两大类,这里主要以热源分类为主,主要是激光,电弧,高能电子束的焊接方式,材料供给主要预铺粉与送丝。试验不细说,这里主要说说仿真,现有的仿真软件很多,比如ABAQUS、ANSYS、MARC、SYMWELDING、COMSOL等等,软件各式各样,仿真结果惨不忍睹,事实上“仿

  • 科研向(仿真验证) ROS 学习笔记三:时间相关API2021-11-13 10:02:12

    获取或是设置时刻: now_t = rospy.Time.now() # 获取当前时刻 t= rospy.Time(1234) # 自定义时刻: 1234s 或者使用 t= rospy.Time.from_sec(1234) rospy.loginfo("时刻:%.2f, %.2f",t.to_sec(),now_t.to_sec()) 持续时间: du = rospy.Duration(5) # 设置一个时间区间(间

  • 虚拟仿真3D变电站建模物联网智慧管理系统2021-11-12 10:35:26

    虚拟仿真变电站建模物联网系统,商迪3D是通过3D建模技术手段以及物联网大数据技术、先进的传感和测量等技术采集变电站的精细点云数据,构建变电站的虚拟仿真三维模型,检测变电站的情况是否有缺漏和破损,同时方便对变电站进行数字化管理,实现智慧变电站电力使用情况以及资产的优化高效运

  • 科研向(仿真验证) ROS 学习笔记二 C:ROS 通信机制 —— 参数服务器2021-11-10 23:07:00

    ROS 通信机制 ROS是进程(也称为 Nodes)的分布式框架。 这些进程甚至还可分布于不同主机协同工作,所以结点之间如何通信非常重要! 基本通信机制三:参数服务器(参数共享模式) 以共享的方式实现不同节点之间数据交互的通信模式(基于RPC协议)。 ROS Master 作为一个公共容器保存参数,Ta

  • SUMO仿真软件2021-11-10 17:02:27

    一、安装方式 源码安装 二、安装步骤 1、下载源码 官网:http://sumo.sourceforge.net 2、安装依赖库 命令如下: sudo apt-get install cmake python g++ libxerces-c-dev libfox-1.6-dev libgdal-dev libproj-dev libgl2ps-dev swig 3、解压SUMO-1.2.0 命令行形式: tar xzf sumo-sr

  • 基于Simulink的高速跳频通信系统抗干扰性能分析2021-11-07 22:00:08

    1、基于Simulink的高速跳频通信系统抗干扰性能分析 在这篇文章中,根据上面的结构框图仿真,文图中用的信道为AWGN抗干扰性能分析,要求改为Rayleigh衰落信道下的性能分析和Nakagami-m衰落信道下的性能分析(见跳频技术抗干扰技术研究62-73页)。仿真图要求和文章中有的。(主要的simulin

  • Abaqus二次开发实例——基于Abaqus的自动化仿真系统分享2021-11-07 19:02:50

    目录 1、 引言 2、综述 2.1 基于Abaqus的仿真系统的基本定义  2.2 Abaqus仿真系统基本功能 3、仿真系统功能模块详解 3.1 仿真工程管理 3.2 仿真数据输入 3.3 仿真终端驱动 3.4 仿真结果查看 3.5 仿真报告生成 3.6 仿真数据管理 4、技术咨询&&项目委托 1、 引言 之前跟大家

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有