ICode9

精准搜索请尝试: 精确搜索
  • 一个one-bit delta-sigma ADC的估计过程2021-04-17 13:00:37

    #include <stdio.h> int main(void){ int N=1; //N是信号个数,以一个信号为例 double transmitted_signal[N]; double estimated_signal[N]; transmitted_signal[0]=1.678; //要估计的信号值,此处为任意值 for (int i = 0; i < N; i++) { ADC(transmitted_si

  • 【Linux】Ⅴ进程信号2021-04-16 23:06:10

    同步与异步 同步和异步关注的是消息通信机制 (synchronous communication/ asynchronous communication)。所谓同步,就是在发出一个调用时,在没有得到结果之前,该调用就不返回。但是一旦调用返回,就得到返回值了。换句话说,就是由调用者主动等待这个调用的结果。而异步则是相反,调用

  • Linux内核调试方法总结之coredump2021-04-16 10:52:14

    什么是core dump?分析core dump是Linux应用程序调试的一种有效方式,像内核调试抓取ram dump一样,core dump主要是获取应用程序崩溃时的现场信息,如程序运行时的内存、寄存器状态、堆栈指针、内存管理信息、函数调用堆栈信息等。Core dump又称为“核心转储”,是Linux基于信号实现的。Linu

  • 控制信号(单脉冲信号)的跨时钟域传输问题2021-04-15 15:01:44

    控制信号(单脉冲信号)的跨时钟域传输问题存在两种情况,一种是从快时钟域到慢时钟域传输,如果用慢时钟强行采样快时钟域内的控制信号,可能存在采样不到信号的情况,而且很大概率采不到信号;另一种情况是从慢时钟域到快时钟域的控制信号传输问题,这种情况,快时钟一定能采样到慢时钟域内的控

  • 系统编程-信号-信号发送kill、raise、alarm2021-04-05 11:32:09

      信号发送   kill 和 raise函数 kill函数参数详解:     实验1 raise和kill 的使用 #include <stdio.h> #include <signal.h> #include <unistd.h> #include <stdlib.h> #include <sys/wait.h> //定义信号处理函数 //signo: 进程捕获到的信号 void sig_handler(int signo)

  • 如何在Python中加速信号处理2021-04-05 07:01:17

    如何在Python中加速信号处理 This post is the eighth installment of the series of articles on the RAPIDS ecosystem. The series explores and discusses various aspects of RAPIDS that allow its users solve ETL (Extract, Transform, Load) problems, build ML (Machine

  • Digital Signal Processing Using Matlab读书笔记(三)(P27-P32)2021-04-03 22:00:00

    回顾序列相关性 如果我们将卷积操作(2.11)和两序列相关性的定义(2.6)进行比较,我们可以发现二者有紧密的相关性 如果序列是有限的,这些相关性的计算可以用conv函数实现 例2.8 这个例子我们会阐述互相关序列的应用 >> x=[3,11,7,0,-1,4,2]; >> nx=[-3:3]; >> [y,ny]=sigshift(x,n

  • QT信号和槽2021-03-30 17:05:44

    什么是信号和槽 > 信号和槽是QT自行定义的一种通信机制,实现对象之间的数据交互。 > 当用户或系统触发了一个动作,导致某个控件的状态发生了改变,该控件就会发射一个信号, 即调用其类中一个特定的成员函数(信号),同时还可能携带有必要的参数 > 槽和普通的成员函数几乎没有太多区别,可以是

  • 关于DBus (signal)的使用2021-03-22 21:31:52

    ** DBUS ** DBUS有四种类型的消息: 1.方法调用(method call) 在对象上执行一个方法 2.方法返回(method return)返回方法执行的结果 3.错误(error)调用方法产生的异常 4.信号(signal)通知指定的信号发生了,可以想象成“事件”。 客户端: 发送信号的流程: 1.建立与DBus后台的连接 DBusErr

  • 操作系统进程同步(案例说明) 学习笔记2021-03-17 20:29:07

    同步问题要有一定的基础,所以建议大家先看看这个: 同步问题的概念说明 案例说明: 生产者-消费者问题 问题说明:有一群生产者进程在生产产品,并将这些产品提供给消费者进程去消费。为使生产者进程与消费者进程能并发执行,在两者之间设置了一个具有n个缓冲区的缓冲池,生产者进程将它

  • linux系统宝塔面板如何解除PHP禁用函数?2021-03-13 11:58:57

    PHP解禁函数 知识付费需要解禁的函数 pcntl_signal pcntl_signal_dispatch pcntl_forkpcntl_waitpcntl_alarmproc_openshell_exec` 源码免费下载地址:http://github.crmeb.net/u/defu

  • qt 窗体隐藏时,点击messageBox直接导致程序退出2021-03-10 10:01:02

    这两天,将Qt的基础看了一下,决定尝试做个截图的小程序,稍后会单独写一篇文章,这里先将遇到的一个最大的问题以及解决方法写出来,以作备份之用。 问题描述:使用QMessageBox弹出一个对话框后,点击这个对话框上的按钮,或是直接关闭这个对话框时,整个程序就退出了。 具体情况:当在主窗体显

  • 567_linux内核学习_signal.c文件的泛读与分析2021-03-07 11:33:31

             全部学习汇总: https://github.com/GreyZhang/little_bits_of_linux          这次,把signal.c的文件全都看一下,粗略泛读。后面应该也是这么个模式,不会像之前分的那么细了。          这一部分,其实之前是看过了的。          这部分,前

  • PHP多进程 (3)信号2021-03-03 19:30:58

    上一节:PHP多进程 (2)孤儿进程与僵尸进程,说到pcntl_wait()和pcntl_waitpid(),是为了解决僵尸进程问题,但最后看起来还是有一些遗留问题,而且上j节文章的结尾也给了解决方案:信号。 本文参考地址:https://github.com/elarity/advanced-php/blob/master/4.%20php%E5%A4%9A%E8%BF%9B%E7

  • signal信号2021-03-02 10:36:00

    除了自然规律,其余的规则都是人定的, signal就是一种规则。 信号的本质是一些列整数,用整数来表示不同含义的信号。 例如:SIFGINT代表signal interpret,即ctrl+c 改变信号行为 如上所述,默认情况下SIFGINT代表终止程序,但是可以通过signal()来改变SIFGINT的行为: //回调函数 static void s

  • Linux signal 信号2021-03-01 21:01:45

    信号的基本概念 软中断信号(signal, 又简称为信号)用来通知进程发了时间,进程之间可以调用kill 库函数kill发送软中断信号,注意信号指示用于通知进程发生了什么事件,进程对信号的处理方法有三种: 第一个方法是,忽略某个信号,对该信号不做任何处理,就像没有发生一样 第二种是设置中断的处

  • 网鼎杯逆向青龙组 Signal2021-02-24 14:03:05

    主要是一个 Switch-Case 的循环。首先会执行 Case 10,读取输入,并检测输入字符数。 v9 作为 Index ,每次从 a1 中取值,执行相应的 Case ,因此操作的顺序是固定的。将代码修改使之不退出,查看全部执行顺序(Case x)。 只有执行 Case 7 ,且不满足条件时才会退出。由执行顺序可知,程序前期完

  • [币萌研究院] 投研报告 - MoblieCoin (MOB)2021-02-24 10:29:05

    CryptoArt: [GiantSwan] Signal. ↯ 内容来源:微信公众号:币萌 ↯ 本投研报告由币萌研究院发布,报告内容仅供参考,切勿作为投资建议或投资依据。 ↯ 文中所涉数据均截至 2021/2/23,可能与发文时的实际情况有所偏差,请自行验证。   ​ 01 项目简述   MobileCoin 是

  • 进程间通信之——信号复现(8~10)(四)2021-02-17 18:34:40

    8) SIGFPE   该信号由浮点异常导致,实际上当除数为0时就会导致该信号,无论被除数是什么类型的,只要除数是0,就会发出该信号。测试代码如下: 1 /** 2 * filename: signal_8.c 3 * author: Suzkfly 4 * date: 2021-02-16 5 * platform: Ubuntu 6 * 操作步骤: 7 *

  • UNIX信号研究(signal)2021-02-17 13:33:59

    1.signal函数使用(mysig.c) #include<stdio.h> #include<signal.h> void myhandler(int sig) { printf("get sig is:%d\n",sig); } int main() { int i=1; for(;i<=31;i++) { signal(i,myhandler); } while(1);

  • Django 的 竟态条件 (race condition) 和 信号 (signal)的综合处理问题2021-02-13 10:00:40

    背景 现有的项目需要拥有一个时间颗粒度的交易数据,同时也会基于此交易表生成一个以天为时间颗粒度的交易表和一个以周为颗粒度的交易表。 所以,这就涉及到了一个数据更新过程中的一个数表联动问题,即更新了最基础的时间颗粒度的交易数据表之后,需要发信号给天颗粒度和周颗粒度的交

  • Detecting CTCSS tones with Goertzel's algorithm2021-02-12 13:03:57

    Detecting CTCSS tones with Goertzel’s algorithm April 21, 2006 Embedded StaffMost engineers involved in the design of Software Defined Radio (SDR) applications are well acquainted with the Fast Fourier Transform (FFT) and related algorithms. The lesser-kn

  • JDK15源码(五):await和signal2021-02-12 09:32:23

    await和signal方法使用 await和signal方法是基于ReentrantLock的Condition使用的。并且await方法要先于singnal,否则await方法会一直阻塞该线程。lockA线程调用ReentrantLock实例的lock方法占用锁,再调用Condition实例的await方法释放锁挂起线程;2秒后,lockB线程调用ReentrantLoc

  • 【新书推荐】【2021】基于MATLAB的概念数字信号处理2021-02-11 17:00:06

    这本教材介绍了数字信号处理的研究,采用自上而下的结构来激励读者,用图形的方法来解决信号处理的数学问题,并广泛使用MATLAB。 This textbook provides an introduction to the study of digital signal processing, employing a top-to-bottom structure to motivate the read

  • EDA与VHDL题目——数字钟2021-02-11 13:59:15

    EDA与VHDL题目——数字钟 代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clock is port ( clk : in std_logic; reset : in std_logic; reset2 : in std_logic; xianshi : out std_logic_vector

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有