ICode9

精准搜索请尝试: 精确搜索
  • 阻塞和非阻塞2021-12-14 18:33:47

    关于在Verilog中阻塞和非阻塞的知识是一个需要重点理解的地方。  首先谈及一下 赋值的概念 : 将某一数值赋给某个变量的过程。 其中赋值分为三个步骤 1、对RHS(Right Hand Side)进行计算  2、将RHS运算结果赋值到LHS(Left Hand Side)3、LHS更新  赋值的符号 一般用 = 表示 但在Veril

  • modelsim之inout类型tb文件编写及仿真2021-12-14 16:30:14

    很久没更新了,这边文章是笔者在隔离期间写的,之前接触过inout类型的仿真,但很久未使用有些生疏了,查阅了相关资料编写了这篇文章,一来是当作笔记,忘记时随时查阅,二来是为了供广大FPGA爱好者学习参考,如有纰漏,请批评指正。 我们都知道,在电路中有输入端口(input)、输出端口(output)、双向

  • DSP存储器与寄存器管理2021-12-11 16:59:59

    1.存储器映射     存储器本身不具有地址信息,它的地址是由芯片厂商或用户分配,给存储器分配地址的过程称为存储器映射,如果再分配一个地址就叫重映射。 1.1 F28335存储空间的分配       F28335片上有256K×16位的FLASH,34K×16位的SARAM,8K×16位的BOOT ROM,2K×16位的OPT ROM,采

  • 【IC】Verilog(1):基本概念2021-12-06 13:35:12

    一.简介 Verilog HDL作为通用的硬件描述语言,其语法知识与C语言很相似。在Verilog里面,用模块的概念来代表一个功能块。在设计的过程中,根据顶层设计的要求来选择相应的功能块。 二.基本概念 1.模块 model ... <模块内容> ... endmodel 2.词法约定 2.1空白符 空白符(\b)、制表

  • 2021-12-04:滑动平均滤波器的verilog实现2021-12-04 22:04:35

    https://blog.csdn.net/qq_36248682/article/details/105666864 最方便实现的求均值方法便是滑动平均滤波器,之所以称之为滤波器是因为该算法本身有一种保留低频分量、滤除高频分量的特性。 如3点滑动平均滤波器的输出y(n)=[x(n-2)+x(n-1)+x(n)]/3。滑动平均滤波器的频率响应

  • 机器学习(七)——分类算法的评价2021-12-04 12:31:53

    分类准确度存在的问题 如果现在有一个癌症预测系统,输入患者的信息,可以判断是否有癌症。如果只使用分类准确度来评价模型的好坏是否合理?假如此时模型的预测准确度是99.9%,那么是否能认为模型是好的呢?如果癌症产生的概率只有0.1%,那就意味着这个癌症预测系统只有预测所有人都是健

  • 机器学习(五)——模型泛化2021-12-02 14:31:39

    引言 众所周知,考试前会刷题。但是考试大部分又不是原题,那考前刷题有什么用?我们考前做的题目的当然不是为了赌考试有一模一样的题(有可能也是。。。),我们是为了从题目中学到一般的知识,这样我们在遇到新题目的时候也可以根据知识来做出题目。其实在机器学习中,考前刷的题就是训练

  • UART串口通信协议2021-11-30 09:03:10

    一、通信特点 异步、串行、全双工 一般描述某种通信的特点为:同步/异步 , 串行/并行 , 半双工/全双工 同步:要求一个芯片控制另一芯片的时序,一般,两者之间至少采一 个总线连接以控制时钟(“时钟线”), 其中主机主动控制时钟线(通过时钟线输出),从机被动接受时钟线(通过时钟线输入)。 异

  • Windows影子用户创建与3389连接2021-11-27 14:03:37

    Windows影子用户创建与3389连接 前言   当获得一条shell后,可以创建一个影子用户,通过影子用户可以行驶正常用户的所有权限与功能,并且只可在注册表中被检测出来---(应急响应注册表很重要) 正文   1.首先需要拥有权限创建一个Administrator用户,并分配管理员权限。 1 net user hah

  • uni-app input标签密码输入框纯数字验证2021-11-22 18:00:53

    最近在做一些小程序的项目,首次使用了uniapp;在使用input标签时发现输入框的各种问题,特别是密码输入框和number类型不能复用的问题很是头疼; 网上关于此类问题,都是让监听input事件,包括官方回答也是如此,进行正则验证;但是并没有很好的效果; 经过多次尝试,发现只需要在watch中对变量进行判

  • js正则密码验证密码至少包含大写字母,小写字母,数字,且不少于8位2021-11-22 14:03:59

    js密码设置验证的正则 var validatePass = (rule, value, callback) => { let reg = /^(?=.*[a-z])(?=.*[A-Z])(?=.*\d)[^]{8,16}$/ if (value === '') { callback(new Error('请输入密码')); } else if (!reg.test(value)) {

  • JavaScript 判断字符串中是否包含某个字符串(五种方法)2021-11-17 09:31:54

    String对象的方法 方法一:indexOf() (推荐) var str ="123"; console.log(str.indexof("3")!=-1);//true indexof()方法可返回某个指定的字符串值在字符串中首次出现的位置。如果要检索的字符串值没有出现,则该方法返回-1。 方法二:search() var str="123"; console.log(str.search(

  • ZYNQ-仿真2021-11-16 21:31:20

    `timescale 1ns / 1ps 仿真单位/仿真精度 reg :always wire : assign `timescale 1ns / 1ps module tb_led_twinkle(); //输入 reg sys_clk1; reg sys_rst_n; //输出 wire [1:0] led; //信号初始化 initial begin sys_clk1 = 1'b0; sys

  • RGB与HSV的转换(FPGA实现)2021-11-16 21:03:29

    RGB到HSV的转换公式为   由于s的范围是0到1,所以用verilog实现时,将s扩大256倍,容易表示,当然会丢失精度,其次,这里用到许多除法,笔者用的工具可以直接综合除法,所以这里没有例化除法器,当然,例化除法器ip核也是一样的效果。 实现代码如下: module rgb2hsv(input clk,input reset_n,input [

  • (一)基本门编写和仿真2021-11-16 17:03:48

    8位非门 module inv(A,Y); input[7:0] A; output[7:0] Y; assign y=~A; endmodule module inv_tb; reg aa; //输入的变量都定义成reg wire yy;//输出的变量定义为wire inv inv(.A(aa),.Y(yy)); initial begin aa<=0; //reg变量赋值的时候要使用带箭头的等号

  • input 输入框数字金额输入正则2021-11-15 19:35:14

    let price = e.detail.value; price = price.replace(/[^\d.]/g, ""); //清除“数字”和“.”以外的字符 price = price.replace(/\.{2,}/g, "."); //只保留第一个. 清除多余的 price = price.replace(".", "$#$").replace(/\./g, "&q

  • 正则校验2021-11-15 12:31:52

     //校验密码一致性     var isPasswdAffirm = (rule, value, callback) => {       if (this.adminInfo.adminPwd) {         if (value == this.adminInfo.adminPwd) {           callback()         } else {           callback(new Error('两次输入

  • FPGA实现720P图像的左右翻转2021-11-13 21:01:43

    使用两片BRAM,进行缓存,一片写入,一片读取,交替进行,通过地址的加减实现左右翻转。 所以图像会有一行的延时,通过自己生成时钟来解决,但是发现在列还有5个像素的延时,所以在生成H信号的时候延时了5个时钟周期。 `timescale 1ns / 1ps // // Company: // Engineer: // // Create Da

  • Quartus/Verilog:移位实现不同频率的流水灯2021-11-12 20:59:31

    //该程序将用移位来实现流水灯,每次左移一个流水灯;复位时流水灯全亮,高电平有效 //三个分频,分别为亮灭灯间隔0.5s、以100HZ、10HZ频率闪烁 module LED( input clk,//时钟信号 input rst_n,//复位信号 input [1:0]en,//控制分频的开关 output reg[9:0]led//流水灯输出

  • 获取正则表达式获取字符串中的所有匹配结果2021-11-11 09:34:14

    先上方法 // 根据正则在字符串中查找结果 function getData (inStr, inPattern,inList = []){ let reg = inPattern let res = inStr.match(reg); // 匹配不到东西了 if(res == null) return; // 匹配到的那个结果 let matchStr = res[0]; // 匹配位

  • 正则校验,中间不能连续出现2021-11-09 14:30:50

    1、逗号分隔中英文字符串,不能在首尾出现,中间有且仅有一个逗号 const reg = /^(?!,)(?!.*,$)[\u4e00-\u9fa5a-zA-Z]+(?:[,][\u4e00-\u9fa5a-zA-Z]+)*$/g; const reg = /^(?!,)(?!.*,$)[\u4e00-\u9fa5a-zA-Z]+(?:[,][\u4e00-\u9fa5a-zA-Z]+)*$/g; if (reg.test(value)) {

  • URL编解码提取参数2021-11-09 11:00:17

    1.思路 编解码数组映射 正则替换 2.代码 (1)url解码 function getUrlDecode(href) { let UrlEncodeArr = ['%23', '%26', '%3D', '%3F', '%3A', '%2F', '%7B', '%7D', '%5B', '%5D',

  • 数码管跑起来2021-11-08 18:58:54

    记录学习日常,先试一下静态数码管我的开发板上有六个数码管,一个数码管上有八段。上代码: module seg( input clk, input rst_n, output reg [5:0]sel, output reg [7:0]seg ); reg flag; parameter Time = 250; reg [24:0]cnt; reg [3:0] state; always@(posedge clk or neg

  • ZYNQ&FPGA 串口通信实验2021-11-06 23:32:18

    实验任务  上位机通过串口将数据发送给开发板,开发板通过串口把数据送回上位机。 TX→RX为串行通信,在FPGA内部接收到发送为并行数据。 协议层: 数据位为8位,停止位为1位,无校验位 波特率为115200bps  目的:将上图中数据转换为并行数据并给出标志信号。 串口接收过程示意图:  uar

  • FPGA基础知识----第三章 第4节 信号类型2021-11-04 21:33:11

    第4节 信号类型 Verilog HDL 的信号类型有很多种,主要包括两种数据类型:线网类型(net type) 和寄存器类型( reg type)。在进行工程设计的过程中也只会使用到这两个类型的信号。 4.1 信号位宽 定义信号类型的同时,必须定义好信号的位宽。默认信号的位宽是 1 位,当信号的位宽为 1 时

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有