ICode9

精准搜索请尝试: 精确搜索
  • Javascript语言中的正则表达式以及RegExp的使用2022-02-28 19:00:18

    正则表达式简介: 正则表达式是一门简单语言的语法规范。通常用于字符串的信息处理,例如查询,提换,提取等。 正则表达式并不是Javascript语言独有的。正则表达式相较于String等具有更快的运算效率。 在Javascript中,regexp和string都可以处理正则表达式。 下面是一个简单的正则表达式:

  • vue22222022-02-28 09:04:20

    element获取表格的每一行数据:点击事件(scopr.row) cnpm i vant --save  全局安装Vant: import Vant from 'vant'; import 'vant/lib/index.css'; Vue.use(Vant); 引入axios cnpm i axios --save import axios from "axios" Vue.prototype.$axios=axios 请求头: axios.

  • 模六十计数器2022-02-27 16:01:23

    文章目录 前言一、开发环境Verilog 语言Xilinx ISE 13.4BASYS2实验板 二、设计思路三、Verilog源文件四、测试文件五、波形仿真六、创建时序约束和管脚约束七、生成.bit文件,下载到开发板总结 前言 Verilog、Xilinx ISE 13.4、BASYS2、模六十计数器 一、开发环境 Verilog

  • 正则表达式012022-02-26 04:00:51

    正则表达式(regular expression) 缩写reg exp 基本介绍    案例演示   底层分析  

  • 【运维】设置远程桌面端口号及加入防火墙规则2022-02-23 18:01:16

    @echo off color 0a title @@ 修改Windows XP/2003/2008远程桌面服务端口号 @@ echo ******************************************************************* echo * 请输入您要更改的远程桌面端口号,范围:1-65535,不能与其他端口冲突 * echo ************************************

  • 以太网通信(1)UDP —— 数据发送2022-02-22 13:03:38

    目录 一、以太网通信简介 1.OSI七层模型 2.以太网数据包解析 3.IP首部校验和计算与检验 二、以太网通信实例 1.整体实验框图 2.发送部分时序图 3.实验代码及仿真结果 总结 一、以太网通信简介           前文我们讲述了多种通信协议(串口、IIC、SPI等协议),而以太网通信是相

  • 获取url中的参数2022-02-22 09:33:02

    通过正则表达式匹配url中的参数 /** * 获取url中的参数 * @param {key} 待匹配的关键字 * @param {url} 被匹配查询的url */ export const getUrlQuery = ({ key, url }) => { let str = url || location.href//默认获取浏览器地址栏中的url let reg = decodeURI

  • Js——正则表达式2022-02-21 18:58:53

    概念 正则表达式可以用来匹配字符串 通过正则表达式,可以实现字符串的截取或按规则替换和验证字符串内容 例如: 验证邮箱格式字符串"2020-01-01" , 可以将其数字部分截取出来"123a456b789c" , 去掉字符串中的所有字母(replace("a" , "1"))。 正则表达式独立于语言,很多语言都支持正则表

  • javascript(JS) 0基础快速入门 (九)(正则表达式的使用)2022-02-20 22:32:47

    正则表达式 根据正则表达式,来检查一个字符串是否符合规则, 将字符串中符合规则的内容提取出来 创建正则表达式的对象 var XXX = new RegExp(" 正则表达式 “,” 匹配模式 "); 第二个参数 i 忽略大小写g 全局匹配模式 var reg = new RegExp (/d/,'g') // 匹配任意数字【0-9】

  • 15_基于FPGA的AD_TLC549采集模拟信号2022-02-20 17:35:20

    15_基于FPGA的AD_TLC549采集模拟信号 实验原理 芯片介绍 TLC549是 TI公司生产的一种低价位、高性能的8位A/D转换器,它以8位开关电容逐次逼近的方法实现 A/D转换,其转换速度小于 17us,最大转换速率为 40000HZ,4MHZ典型内部系统时钟,电源为 3V至 6V。它能方便地采用三线串行接口方式与各

  • 16_基于FPGA的DA_TLC5615驱动输出2022-02-20 17:34:39

    16_基于FPGA的DA_TLC5615驱动输出 实验原理 芯片简介 TLC5615 为美国德州仪器公司 1999 年推出的产品,是具有串行接口的数模转换器,其输出为电压型,最大输出电压是基准电压值的两倍。带有上电复位功能,即把 DAC 寄存器复位至全零。性能比早期电流型输出的 DAC 要好。只需要通过 3 根串

  • 阶段2-计数器练习152022-02-19 23:32:30

       还是需两个计数器,一个变量Z,计数器加1条件改为 dout !=0,这样可以省点资源 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5 // en2, 6 // en3, 7 dout 8 ); 9 10 i

  • 阶段二-计数器练习132022-02-19 22:03:06

       需用到两个计数器,cnt0计数器一轮的结束, cnt1计数循环3轮 需要三个变量x,y,z ,x: 是cnt0计数器的结束条件 , y 是 dout变为0的条件, z 是dout要赋的值 1 module cnt_test( 2 clk, 3 rst_n, 4 en1, 5

  • Rocket - core - csr.io.interrupt2022-02-19 18:00:07

    https://mp.weixin.qq.com/s/KShCBLy5TqFVZg-uvcW-aw    简单介绍csr.io.interrupt相关的实现。   本文目录: 1. csr.io.interrupt 2. id_xcpt 3. ex_reg_xcpt_interrupt 4. ex_reg_cause 5. ctrl_killd   以下正文: 1. csr.io.interrupt csr.io.interrupt表示发生了中断:

  • Linux c 开发-19 libmodbus显示浮点数示例2022-02-18 01:03:10

    1、使用MThing模拟数据 注意: (1)箭头处一定留空,不然只有本机能收到数据,一开始误以为这是绑定监听IP,被坑了好长时间。 会提示:error connection reset by peer: read (2)如果端口配置错误会提示: [Errno 9] Bad file descriptor (3)另外设置处于选中状态时也会无法获取数据。 2、模拟一个

  • js 方法工具2022-02-17 15:34:26

    1、在网址中提取需要的参数值 1 //获取url中的参数 path->地址url name->想要拿取的地址中的参数 2 const getUrlParam = (path, name) => { 3 var reg = new RegExp("(^|\\?|&)" + name + "=([^&]*)(\\s|&|$)", "i"); 4 if (reg.test(path

  • 【算法竞赛学习】金融风控之贷款违约预测-建模与调参2022-02-11 10:58:00

    Task4 建模与调参 此部分为零基础入门金融风控的 Task4 建模调参部分,带你来了解各种模型以及模型的评价和调参策略,欢迎大家后续多多交流。 赛题:零基础入门数据挖掘 - 零基础入门金融风控之贷款违约预测 项目地址:https://github.com/datawhalechina/team-learning-data-mining

  • 计数器练习112022-02-09 23:00:43

    练习题目: 当收到en信号之后, a,隔1个时钟周期,dout输出4个高电平,然后 b,隔1个时钟周期,dout输出3个高电平,然后 c,隔1个时钟周期,dout输出2个高电平,然后 d,隔1个时钟周期,dout输出1个高电平,然后 第一关键点,隔1隔时钟,dout输出 x 个高电平,需一个计数器cnt0,引入了一个“x”变量,因为是在不同时

  • FPGA奇数分频的实现2022-02-07 13:30:00

    在FPGA开发中,我们往往需要对时钟进行分频,得到满足我们需求的时钟频率。尽管这些分频的工作完全可以由PLL等ip核完成,但通过verilog语言同样也可以实现。在本博客中,我们仅讨论整数倍分频。 偶数倍分频 偶数倍分频的实现较为简单,一般是设置一个计数器,从0开始计数,达到N-1后又重新

  • Django03_路由2022-02-04 20:02:08

    1.路由匹配 # 路由匹配 url(r'test',views.test), url(r'testadd',views.testadd) """ url方法第一个参数是正则表达式 只要第一个参数正则表达式能够匹配到内容 那么就会立刻停止往下匹配 直接执行对应的视图函数 你在输入url的时候会默认加斜杠 django内部帮你做到重定向

  • Windows 注册表操作 reg 命令详解2022-02-03 21:34:20

    reg命令是Windows提供的,它可以添加、更改和显示注册表项中的注册表子项信息和值。    1,reg add 将新的子项或项添加到注册表中    语法:reg add KeyName [/v EntryName|/ve] [/t DataType] [/s separator] [/d value] [/f]    参数    KeyName    指定子项的完全

  • 【Linux】LDD学习笔记——操作硬件——IO内存2022-02-03 15:30:00

    原文出处:http://blog.chinaunix.net/uid-25014876-id-80627.html xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 在之前章节的驱动,都没有对硬件进行操作,接写来将从我之前学的裸板驱动开始,讲解在linux系统下如何访问硬件

  • 寄存器模型(RAL,Register Abstraction Layer)——UVM2022-02-02 23:03:22

    文章目录 一、寄存器模型的背景1.1 寄存器模型的背景1.2 访问寄存器模型方式1.3 寄存器模型基本概念1.4 寄存器模型建模要点和顺序 二、寄存器模型与验证环境的集成2.1 寄存器模型与DUT桥接2.2 adapter作用2.3 adapter与寄存器模型集成 三、访问寄存器地不同方式3.1 前门访

  • win10清楚默认打开方式2022-02-01 22:34:22

    win10清楚默认打开方式的方法 一.手动清除 步骤1: 按Windows键+R键呼出“运行”,在运行对话框中输入Regedit 打开注册表编辑器 定位到如下分支:HKEY_CURRENT_USER\Software\Microsoft\Windows\CurrentVersion \Explorer\FileExts(后缀名)\OpenWithList 右侧窗口即显示出某某文件的“

  • Windows内核编程学习1:构建HelloWorld2022-02-01 21:01:25

    首先下载VS+WDK,资源可以从微软上下,我这里资源也附在后面,但不是最新的。 今天我们开始第一个项目:HelloWorld (我用的是VS2019)打开VS,[创建新项目]=>[Kernel Mode Driver Empty],再填写名称:HelloWorld 进入项目后,右击“解决方案管理器”中的“Source Files”,“添加”,“新建项”,不要选

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有