ICode9

精准搜索请尝试: 精确搜索
  • Different Pass a Ports(矩阵快速幂板子)2022-08-29 03:00:08

    Different Pass a Ports(矩阵快速幂) 题目大意:小明(化名)喜欢旅游,没到一个地方都会搜集该地的邮票并且按照旅游的顺序收藏,他可以进行K时间的旅行,每去一个地方就要花1时间。问k时间后,小明有多少种邮票的排序方式。小明从1这个点位出发。 经典的固定时间,经典的问固定时间后有多少种走

  • DSA2022-06-27 02:00:35

    DSA 来源  https://www.right.com.cn/forum/thread-5807504-1-1.html 教程 https://openwrt.org/docs/guide-user/network/dsa/dsa-mini-tutorial#multiple_networks_using_vlan_tagging 参考 https://openwrt.org/docs/guide-user/network/vlan/switch_configuration 参考  h

  • https中间人攻击.md2022-05-27 14:01:58

    https中间人攻击 使用tls/ssl方法进行加密传输 http攻击方法 降级攻击 解密攻击(明文,证书伪造) 协议漏洞,实现漏洞,配置不严格 openssl openssl s_client -connect www.baidu.com:443 **** sslscan 自动识别ssl配置错误,过期协议 默认检查 CRIME heartbleed 漏洞 绿色: 安全 红色

  • docker-compose之.netcore+mysql+Ocelot多容器部署2022-05-23 23:31:07

    继上节.NetCoreApi容器与MySql容器互联继续讲解,主要增加了Ocelot网关。   项目结构     编写 docker-compose.yml 文件   version: '3' services: db: image: mysql:5.5 command: mysqld --character-set-server=utf8 --collation-server=utf8_general_ci

  • liunx-常见端口2022-05-19 23:34:08

    端口号的范围是从1~65535。其中1~1024是被RFC 3232规定好了的,被称作“众所周知的端口”(Well Known Ports);从1025~65535的端口被称为动态端口(Dynamic Ports),可用来建立与其它主机的会话,也可由用户自定义用途。 一些常见的端口号及其用途如下: 21端口:FTP 文件传输服务 22端口:SSH 端口 23

  • 利用Python与Scapy模块构建端口扫描器2022-05-15 11:00:28

      1 from scapy.all import * 2 import sys 3 import optparse 4 import threading 5 6 7 class PortScanner: 8 def __init__(self) -> None: 9 self.host = self.get_params()[0] 10 self.ports = self.get_params()[1] 11 12

  • Ubuntu linux arm架构,虚拟机更换清华源 MacOs M12022-03-02 13:34:03

    换了MacBook后在Parallels Desktop里安装linux虚拟机后发现更换源失败,我的Ubuntu版本是20.04,一开始以为是以前的方法不适用了,后来才知道有分架构, 也是在清华源下面的小字才发现:清华大学开源软件镜像站。 MacBookM1芯片后都是arm架构,接着寻找后找到了arm版本的清华源。  操作

  • ZedBoard教程PL篇(2):按键检测2022-02-27 16:02:23

    开发板环境:vivado2017.4 开发板:Zedboard 芯片型号:xc7z020clg484-1 本章主要使用用verilog编写一个按键检测程序,按一次按键LED亮一次,依次点亮八个LED灯 按键工程  按键检测代码 `timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2022/02/26 12:48:48 /

  • docker compose ports vs expose2022-02-22 18:32:03

    ports 暴露端口,映射宿主机和容器的端口,结构为【宿主机:容器】 ports: - "3000" # 宿主机随机分配一个端口 - "8181:80" # 宿主机8181端口映射到容器80端口 expose expose没有暴露端口到宿主机,它的主要作用就是声明容器在某个端口提供服务。所以,我的理解是expose主要是为了

  • Linux 更换国内源2022-02-02 12:32:09

     1.事件背景   事情起因是因为我想安装xvfb,执行sudo apt-get install xvfb发现安装报错,看报错原因是被墙导致,因为我用的默认源也没有挂代理;然后就百度,发现都是互相抄,什么换阿里源啊,163源、中科源之类,我都试过,并且发现这些源在更新过程会有一些错误或者更新被忽略,导致我再次执行

  • Constraint I/O Delay(一)2022-02-01 14:00:46

    Constraint I/O Delay About Constraining I/O Delay 为了精确对外部时序进行建模,必需要给出input和output端口的时序信息。因为Vivado仅能给出FPGA内部的时序信息,所以工程师需要用以下命令具体指出FPGA外部的时序延迟信息。 • set_input_delay • set_output_delay Input

  • 博科交换机配置方式:2022-01-09 14:33:41

    内蒙古云计算产品专业运维 highway.blog.chinaunix.net Brocade Command Line Interfacehow to telnet to and use the command line on a brocade switch: Basic Configuration   *** Initial Switch Commands and Setup ***   network IP interface settings ipAddrShow ip

  • FreeBSD 包管理器概述2021-12-31 08:03:01

    FreeBSD 包管理器设计理念 熟悉 Linux 的人也许会发现,FreeBSD 的包管理方案实际上大约等于以下两大 Linux 发行版包管理器的完美合体: Arch: pacman,对应 pkg(秉承同样的 KISS 理念) Gentoo: Portage,对应 Ports(Portage 本身就是 Ports 的仿制品)

  • gitup 的用法2021-12-31 08:02:50

    在 FreeBSD 13.0,FreeBSD 官方准备将 portsnap 移除(但仍可使用),转而使用 gitup,换用 git 方式获取系统源代码和 ports 打包套件。 # pkg install gitup #安装 gitup # gitup ports #获取 ports # gitup release #获取 release 版本的源代码 故障排除:速度太慢 设置 HTTP 代理 g

  • Verilog 电子秤设计2021-12-26 23:33:55

    能跑就行系列。。。    功能 单次计价:输入物品的重量、单价,显示物品的总价(=重量*单价)。累计计价:第一次按下累计按键,记住当前物品的总价(当前物品记为物品1),数码管依次显示:                                    AC 次数 应付总价继续输入物品2的重量、单价,

  • k8s 网络示例2021-12-09 15:01:05

    k8s-网络示例 1. K8s-网络示例 官网参考地址:https://kubernetes.io/zh/docs/concepts/services-networking/network-policies/ 示例配置: apiVersion: networking.k8s.io/v1 kind: NetworkPolicy metadata: name: test-network-policy namespace: default spec: podSelec

  • 03-K8S之service工作方式及使用2021-12-06 09:01:26

    目录service1.service工作模式kubernetes中的3类IP地址2.kubernetes的3种service实现模型1.userspace2.iptables3.ipvs3.service使用iptables和ipvs规则实现的区别4.sevcie常用字段5.nodePort、port、targetPort、hostPort解释1.nodePort2.Port3.targetPort4.hostport5.总结6.创建

  • 12-4学习笔记2021-12-04 14:30:00

    set_property PACKAGE_PIN R1 [get_ports Ai] set_property IOSTANDARD LVCMOS33 [get_ports Ai] set_property PACKAGE_PIN N4 [get_ports Bi] set_property IOSTANDARD LVCMOS33 [get_ports Bi] set_property PACKAGE_PIN M4 [get_ports Ci_1] set_property IOSTANDARD LVCM

  • 获取免费代理IP2021-11-29 22:34:41

    import requests from lxml import etree url = ‘https://www.kuaidaili.com/free/inha/{}/’ headers = {‘User-Agent’: ‘Mozilla/5.0 (Macintosh; Intel Mac OS X 10.12; rv:70.0) Gecko/20100101 Firefox/70.0’} response = requests.get(url.format(1),headers=head

  • [工具] nmap初步了解(二)2021-10-10 11:35:22

    靶机:Bee-box Nmap 7.80 二、nmap端口扫描技术、规格和速度 官方地址: Nmap参考指南(Man Page) |https://nmap.org/man/zh/// 端口扫描技术 SCAN TECHNIQUES: // 参数 // -sS/sT/sA/sW/sM: 分别对应如下扫描技术:TCP SYN扫描,TCP connect()扫描,TCP ACK扫描,TCP窗口扫描,TCP Maimon

  • Kubernetes环境Traefik部署与应用2021-09-12 14:00:27

    本作品由Galen Suen采用知识共享署名-非商业性使用-禁止演绎 4.0 国际许可协议进行许可。由原作者转载自个人站点。 概述 本文用于整理基于Kubernetes环境的Traefik部署与应用,实现Ingress Controller、七层/四层反向代理等功能。 本次演练环境为Kubernetes集群环境,环境配置可参

  • k8s 连接glusterfs 集群使用2021-08-03 17:35:20

    准备glusterfs 存储 glusterfs volume 为public,挂载在服务器的/data/public/ 下,创建项目目录projects mkdir /data/public/projects/ 创建单独测试项目数据目录volume-test-nginx mkdir /data/public/projects/volume-test-nginx 创建k8s 名称空间 testnginx kubectl create ns

  • 树莓派docker容器中apt无法update的解决办法2021-07-20 17:31:13

    今天在树莓派上用Dockerfile构建镜像时出现apt无法update的问题 ... ---> Running in 05393fa6f242 Get:1 http://ports.ubuntu.com/ubuntu-ports focal InRelease [265 kB] Get:2 http://ports.ubuntu.com/ubuntu-ports focal-updates InRelease [114 kB] Err:1 http://por

  • VCPKG 特性 - Overlay ports2021-07-16 18:31:50

    背景 在我们私有项目中,经常会遇到由于自定义功能而必须修改依赖库的代码然后使用修改代码后生成的库,或一些私有的依赖库未被加入vcpkg中的场景。这时无法通过vcpkg集成功能满足上述的需求只能手动配置这些依赖项的构建流程。overlay ports则是为了满足这些自定义需求而产生的。 使

  • Xavier刷机,sources.list备份2021-07-15 11:31:27

    xavier,基于ubuntu18.04,刷机换源 ~~~# See http://help.ubuntu.com/community/UpgradeNotes for how to upgrade to # newer versions of the distribution. deb http://ports.ubuntu.com/ubuntu-ports/ bionic main restricted # deb-src http://ports.ubuntu.com/ubuntu-po

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有