ICode9

精准搜索请尝试: 精确搜索
  • C#-Wpf-Prism.DryIoc-【4】模块化2022-04-20 15:32:55

    【1】先完成基本的环境搭建,可参考C#-WPF-Prism.DryIoc-【1】环境搭建 - 轻吟浅唱,蓦然花开 - 博客园 (cnblogs.com) 【2】在解决方案中建立三个项目如下图   其中Module1和Module2是分离出来的两个模块输出类型为dll,模块1中的Module1View和模块2中的Module2View是需要被主项目调

  • Spring系列:父子容器详解2021-12-18 10:05:23

    又一次被面试官带到坑里面了。 面试官:springmvc用过么? 我:用过啊,经常用呢 面试官:springmvc中为什么需要用父子容器? 我:嗯。。。没听明白你说的什么。 面试官:就是controller层交给一个spring容器加载,其他的service和dao层交给另外一个spring容器加载,web.xml中有这块配置,这两个容

  • python学习笔记-包2021-11-28 20:31:36

    python学习笔记-包 包 包将有联系的模块组织在一起,即放到同一个文件夹下,并且在这个文件夹创建一个名字为__init__.py文件,那么这个文件夹就称之为包 1.1 制作包 新建包之后 包内会自动创建__init__py文件,这个文件控制着包的到润行为 1.1.1 快速体验 新建包新建包内模块 my_mod

  • spring成神之路第二十四篇:父子容器详解2021-10-31 01:32:36

    又一次被面试官带到坑里面了。 面试官:springmvc用过么? 我:用过啊,经常用呢 面试官:springmvc中为什么需要用父子容器? 我:嗯。。。没听明白你说的什么。 面试官:就是controller层交给一个spring容器加载,其他的service和dao层交给另外一个spring容器加载,web.xml中有这块配置,这两个容器组

  • Python语法32021-10-27 15:31:07

    目录模块Python程序架构第三方工具包:创建packet包模块导入方式异常处理六种典型异常异常处理自定义异常 模块 Python程序架构 Python源代码文件:*.py 一个py文件是一个模块(module) 多个模块可以组成一个包(package) 第三方工具包: 创建packet包 创建的每一个packet包中会自带一

  • 编写Linux内核模块实现文件拷贝2021-10-17 18:59:19

    操作系统课程实验1 添加内核模块 每个人题目都是自己选择的,题目1已经有一位校友给出了标准答案,我捣鼓的是文件拷贝这题,弄了很久也记录一下,给后面的学弟学妹参考 题目4:设计一个带参数的模块,其参数为源文件和目标文件的文件名(可能带路径),模块功能是实现文件拷贝功能。 踩的大

  • 一文搞懂Python中的核心概念:导入,模块,包2021-08-01 20:02:01

    前言 Python作为一个解释器,一个程序,如果不导入任何外部模块或包,就做不了什么。理解Python如何导入模块和包将在几乎所有的场景中都很有帮助。 本文中的所有代码都是在Linux(Ubuntu)中应用和测试的,Windows和macOS应该(希望)是类似的。 PART 01 当PIP安装一个包时会发生什么 当

  • python中将函数导入到模块中2021-03-11 16:38:46

      import语句允许在当前运行的程序文件中使用模块中的代码。 要让函数是可导入的,得先创建模块。模块是扩展名为.py的文件。 1、创建module1,命名module1.py,定义三个函数fun1、fun2、fun3 def fun1(x): print("11111",x) def fun2(x): print("22222",x.title()) def fun3(

  • module1-03-JS6种继承方式2021-02-27 11:01:50

    JS6种继承方式 继承的意义 继承是面向对象的,使用这个方式可以让我们更好的复用代码,缩短开发周期,提升开发效率 思考题 ① JS的继承方式到底有多少种实现方式呢? ② ES5的 extends 关键字是用哪种继承方式实现的呢? 一、原型链继承 原型链继承是比较常见的继承方式之一

  • module1-04-实现 new、apply、call、bind 的底层逻辑2021-02-27 11:01:29

    实现 new、apply、call、bind 的底层逻辑 apply、call、bind在前端代码开发中非常重要,在很多时候,可以通过这些来节约内存。这些包括new都与this的指向密切相关。所以必须要了解这些方法 思考题: ① 用什么样的思路可以new关键词? ② apply、call、bind这三个方法之间有什么

  • javascript模块化编程思想(转载网上专家)Javascript模块化编程(一)2021-02-20 14:30:29

    这篇文章转载自 作者:阮一峰老师写的文章 网页越来越像桌面程序,需要一个团队分工协作、进度管理、单元测试等等......开发者不得不使用软件工程的方法,管理网页的业务逻辑。 Javascript模块化编程,已经成为一个迫切的需求。理想情况下,开发者只需要实现核心的业务逻辑,其他都可以加载

  • 11.13 Python __doc__属性:查看文档2021-02-09 18:02:22

    在使用 dir() 函数和 __all__变量的基础上,虽然我们能知晓指定模块(或包)中所有可用的成员(变量、函数和类),比如: import string print(string.__all__) 程序执行结果为: ['ascii_letters', 'ascii_lowercase', 'ascii_uppercase', 'capwords', 'digits', 'hexdigits&

  • python 基础语法知识2021-01-28 21:05:06

    模块(即python的文件名) python中一个模块就是一个文件,模块是保存代码的最小单位。 文件名为:module1.py y = True z = 10.10 import module1 from module1 import z #from <模块名> import <代码元素> y = 20 print(y) # 访问当前模块变量y print(module1.y) # 访问modul

  • Nodejs2021-01-03 19:36:14

    Nodejs  更改 库安装位置:   npm config set  prefix "D:\node_globals"   npm config set  cache "D:\node_globals"   系统环境变量:NODE_PATH ="D:\node_globals"   path路径添加NODE_PATH Node 模块导出:        module.exports =function foo(){ console.log

  • python import 导入两个模块同时有同一名称的方法如何调用 ?2021-01-02 22:01:40

    from moudule import *(这种方法不推荐) 一般不推荐使用“from 模块 import”这种语法导入指定模块内的所有成员,因为它存在潜在的风险。 比如同时导入 module1 和 module2 内的所有成员,假如这两个模块内都有一个 foo() 函数,那么当在程序中执行如下代码时: foo() 上面调用的这个 foo

  • module1-online-01-parseInt第二个参数2020-12-05 14:33:58

    parseInt的第二个参数 一、使用方法 进行取整操作,第二个数表示目前数字的进制(2-36) 转换结束后默认按十进制进行输出 如parseInt('123', 2)得出的结果是83 二、开头问题 0x / 0X开头会按照16进制转换为整数 0 / 0o / 0O开头的字符串(数字的话会八进制进行解析),ES3会按照

  • module1-online-02-隐式转换2020-12-05 14:33:26

    隐式转换 一、隐式转换为数字类型 算数运算符和比较运算符中会隐式转换为数字类型(相当于调用Number()) 纯数字字符串直接转换为对应数字(或只有一个值的数组,进行Number()转换的时候会视为第一个值的字符串) +'123' // 123+['123'] // 123 转换为1:true, [1],['1'] 转换为0:fa

  • module1-online-03-短路语法2020-12-05 14:32:22

    短路语法 一、&& a && b 会默认对第一个进行布尔类型的隐式转换 1.1 若Boolean(a)为true 则会执行b,返回b原值(并不是转换后的布尔类型) 1.2 若Boolean(a)为false 则不会执行b,且只返回a原值 二、|| a || b 2.1 若Boolean(a)为true 则不执行b,返回a原值 2.2 若B

  • IDEA 中运行SpringBoot+JSP项目 页面4042020-03-24 15:00:11

    错误如下: 404Whitelabel Error Page This application has no explicit mapping for /error, so you are seeing this as a fallback.   Thu Jan 18 11:01:36 CST 2018 There was an unexpected error (type=Not Found, status=404). /WEB-INF/jsp/

  • js前端模块化的前世今生2020-02-04 21:02:29

    前言: <!DOCTYPE html> <html> <head> <title></title> </head> <script src="https://cdn.jsdelivr.net/npm/vue"></script> <body> <!-- 前端模块化的必须性。 由于展示的方便性,将以下多个scrit块视为多个script文件 --> <

  • JS模块化编程2019-12-06 23:00:25

    对象写法模块即实现特定功能的一组方法。只要把不同的函数(以及记录状态的变量)简单地放在一起,就算是一个模块。 若多个函数依次调用,缺点很明显:”污染”了全局变量,无法保证不与其他模块发生变量名冲突,而且模块成员之间看不出直接关系。 避免这种缺点,可以使用对象写法:把模块写成一个

  • 模块化中esport与import解析2019-10-22 22:56:58

    export 暴露的接口     import {} from "模块名称/路径" 1. 定义模块,通过export暴露接口 //module1.jsexport let a = 3;export function sayHello(){}//index.jsimport {a,sayHello} from './module1'   2. 定义模块,通过export default 暴露接口 //module1.jslet a = 3

  • from.....import2019-07-30 19:02:43

    1 # from ... import ... 语句 2 ''' 3 格式:from module import name1[, name2…namen] 4 作用:从模块中导入一个指定的部分到当前命名空间中 5 注意:后导入的函数或变量或类会把之前相同的函数或 6 变量或类替换掉,遵循就近原则。 7 ''' 8 from math import pi 9 print(pi)10 11 #

  • 导入自定义模块2019-07-30 19:02:21

    1 # 自定义模块:自己创建的.py文件 2 3 # 格式:import module1[, module2, module3….modulen] 4 # 注意:引入自定义模块, 引入模块时不要加.py后缀 5 # 使用自定义模块中的内容:模块名.函数名/变量名 6 7 import module1, module2 8 9 print(module1.a)10 print(module2.a)11 mod

  • 使用ctypes调用系统C API函数需要注意的问题,函数参数中有指针或结构体的情况下最好不要修改argtypes2019-05-31 15:45:20

    有人向我反应,在代码里同时用我的python模块uiautomation和其它另一个模块后,脚本运行时会报错,但单独使用任意一个模块时都是正常的,没有错误。 我用一个例子来演示下这个问题是如何出现的。 假设我需要写一个module,这个module需要提供获取当前鼠标光标下窗口句柄的功能,这需要调用系统

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有