ICode9

精准搜索请尝试: 精确搜索
  • XILINX KU系列三速以太网IP核RGMII时序约束方法2022-08-15 20:33:55

    1概述 基于RGMII时序广泛应用于以太网通信中,基于XILINX的三速以太网时序分析,不同的XILINX系列方法不一样。当使用2路以上以太网通信,KU系列FPGA的MAC核需要进行修改,以支持2路以太网和满足时序要求。以下笔者对修改的部分进行说明,希望对广大开发者有所帮助,如果有不对的地方欢迎指针

  • 设置博客园代码高亮-Markdown编辑器2022-07-24 23:02:28

    ————————————————————————————————————————————————————————————————————————————— 原文地址:http://t.zoukankan.com/60late-p-9099156.html —————————————————————————

  • (0720) 【 表示 n'b0; 】2022-07-20 07:01:25

    注意 花括号:   (n+1)'b1 小括号:  

  • 【D触发器】— 时序图2022-04-20 21:00:16

    一个非阻塞赋值可以综合成一个D触发器 module test_01 ( input clk, input rst_n, input in_01, output reg out_02 ); always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) out_02 <= 1'b0; else out_02 <= in_01;// 综合成D触发器 end endmodule  测试文件

  • 学习笔记254—磁共振中的T1, T2 和 T2*的原理和区别2022-04-17 17:33:16

    从物理的角度,要理解这几个概念的区别,需要对原子核的磁化有所了解,本文通过一些图示对这几个概念进行简明的介绍。首先,磁共振最基本的原理就是氢原子核在磁场中自旋运动时所具有的量子力学特性。在一个均匀磁场B0中,氢原子核的旋转(spin)会出现两种自旋状态,一种是沿着磁场方向(up状态),

  • Flink 基础学习2022-04-03 10:00:20

    视频:https://www.bilibili.com/video/BV1qy4y1q728?p=14&spm_id_from=333.33.header_right.history_list.click 转载笔记:https://ashiamd.github.io/docsify-notes/#/study/BigData/Flink/%E5%B0%9A%E7%A1%85%E8%B0%B7Flink%E5%85%A5%E9%97%A8%E5%88%B0%E5%AE%9E%E6%88%98-%E5

  • go的make切片 注意事项2022-03-05 19:05:12

    注意:此处make是产生长度5容量10的切片,前5个是空的,当使用append的时,前面几个还是空的 点击查看代码 package main import( "fmt" ) func lenA(){ var a []string = make([]string,5,10) // 注意:此处make是产生长度5容量10的切片,前5个是空的, print("len(a):",len(a)

  • 数据库基础知识及常用命令之表内内容的增删改查(总结)2022-02-28 12:03:29

    我是小白,刚接触MySQL不久,现阶段正在学习,为此在CSDN上留下自己的学习笔记。如果有错误的地方还请大家见谅,评论或者私发我错误地方哦,谢谢大家,嘿嘿~ 此篇为表内内容的增删改查,上篇为表的增删改查(传送门:表的增删改查) 这篇在库book1里进行对表b0添加值。 增: 查看表结构 第一种

  • 「学习笔记」2-SAT2022-02-08 21:32:31

    一.什么是 \(\text{2-SAT}\) \(SAT\) 是适定性 \(Satisfiability\) 问题的简称。一般形式为 \(k -\)适定性问题,简称 \(\text{k-SAT}\)。而当 \(k>2\) 时,该问题为 \(NP\) 完全的。所以我们只研究 \(k=2\) 的情况。 形象地来说,给定 \(n\) 个布尔变量 \(a_i\),同时给出若干个约束条件:

  • rtl低功耗除法器设计2022-02-07 18:34:54

    对于在性能要求不高的地方,可以使用这种除法   其原理是每一拍除数减去被除数,直到被除数小于除数。减的次数为商,剩下的是余数。 对于a/b,假设a位宽为m,b位宽为n,则需要m拍出结果 算法原理: 对于无符号除法,其商不会超过m,余数一定不会超过n 定义变量 assign a_tmp = {n{1'b0},a} assig

  • 2-SAT学习笔记2022-02-07 14:35:05

    2-SAT问题概念 给定一串布尔变量,每个变量只能为真或假。要求对这些变量进行赋值,满足布尔方程。这就是2-SAT问题。 求解2-SAT问题 构造状态 我们发现每块点都有两种状态(真、假),于是我们可以想到将点 \(u\)​​ 拆分成 \(u0,u1\)​ ,分别表示 \(u\)​ 点为假、真。我们若连的边为

  • Hankson的趣味题 2022-01-29 14:05:14

    code #include<algorithm> #include<iostream> #include<cstdio> using namespace std; int gcd(int a,int b){ return b==0?a:gcd(b,a%b); } int main(){ ios::sync_with_stdio(false); int a0,a1,b0,b1,i,ans,k; cin>>k; while(k--){ //TODO

  • 曲线(Curves)2022-01-02 21:34:44

    三维中摄像机的运动、动画软件要完成的物体的运动、矢量字体的控制点 ...... 这就是下面要说的贝塞尔曲线(Bézier Curves) 用一系列控制点去定义某段曲线,上图就是用 p0、p1、p2、p3 这四个点定义的贝塞尔曲线。而且这里还有个系数 3,后面就会明白。 通过这四个点我可以定义这条曲

  • Verilog——if语句的优先级问题2021-12-29 09:04:30

    一、有优先级的if语句         if..else  if.. else  if … …else..语句中是有优先级的,第一个if具有最高优先级,最后一个else优先级最低。Quartus综合出的RTL图认为,最高优先级的电路靠近电路的输出,输入到输出的延时较短;最低优先级的电路远离输出端,输入到输出的延时较

  • matlab练习程序(B样条反算控制点)2021-12-25 20:34:07

    如果要准确反求B样条的控制点,有几个参数还是要事先知道的: 1. 样条的控制点个数。 2. B样条曲线的所有点坐标和个数。 3. B样条基函数。 一般条件2容易知道一些,1和3还是比较难事先知道的。 如果待求控制点为四个,B样条曲线点个数为n个,并且已知基函数形式如下面代码中[b0 b1 b2]。 则

  • verilog language-Procedures2021-11-28 13:34:20

    Problem 28:Always blocks(combination)(Alwaysblock1) 任何电路都可以用 module 和 assign 语句组合出来。 这里介绍一种过程块,always 块,提供了一种更便捷地描述电路的方法 有两种 always 块可以综合出电路硬件的 always @(*)//综合逻辑 always @(posedge clk)//时序逻辑 组合 al

  • xilinx7系列FPGA片上资源说明。。。持续更新2021-10-18 12:31:07

    FDCE:Primitive: D Flip-Flop with Clock Enable and AsynchronousClear,具有异步复位和时钟使能功能的D触发器。 异步复位:它是指无论时钟沿是否到来,只要复位信号有效,就对系统进行复位。    verilog实例化模板: 1 FDCE #( 2 .INIT(1'b0) // Initial value of register (1'b0 or 1

  • 4选1数据选择器 testbench代码编写2021-10-11 10:02:37

    4选1数据选择器verilog代码 module mux4_1(out, in0, in1, in2, in3, sel); output out; input in0, in1, in2, in3; input[1:0] sel; reg out; always @(in0 or in1 or in2 or in3 or sel) //敏感信号列表 case(sel) 2'b00: out=in0; 2'b01: out=in1; 2'b

  • 题解 P1072 【Hankson 的趣味题】2021-09-13 20:34:02

    P1072 Hankson 的趣味题 题目大意: 求有多少个 \(x\) 满足: \[\gcd(x,a_0)=a_1,\text{lcm}(x,b_0)=b_1 \]  solution: 首先我们探究一些性质:设\(x=k_1\times a_1,a_0=k_2\times a_1\) ,且 \(\gcd(k_1,k_2)=1\) 。 证明: 若 \(\gcd(k_1,k_2)\not=1\),设 \(\gcd(k_1,k_2)=d\) ,则有 \(k_1=

  • 2021-09-112021-09-11 23:06:16

    CCF 202006-1 线性分类器(C/C++实现 100分) (1)问题描述 题干过长,故意描述的看起来很难,实际是难度并不大,需要细心分析。 (2)代码 #include<iostream> using namespace std; int site[1001][2];//存放坐标 int result[1001];//存放结果,为1表示可以分隔 char ch[1001];//存放A/B

  • 作业1:梯度下降法2021-09-03 23:02:51

    import numpy as np import matplotlib.pyplot as plt x = np.array([[2104, 3], [1600, 3], [2400, 3], [1416, 2],[3000,4]]) t = np.array([400, 330, 365, 232, 540]) a = 0.1 b0 = np.random.random() b1 = np.random.random() b2 = np.random.random() b = np.array([

  • ECDSA—模乘模块2021-08-23 19:00:08

      如果a,b属于GF(P),则有乘法运算a*b=r (mod p), 其中r满足0<r<p-1,即a*b除以p的余数。该操作成为模p乘法。本模块输入两个数,完成两个数的模乘运算。                                                                              

  • P1072 [NOIP2009 提高组] Hankson 的趣味题2021-07-27 14:03:08

    Rose \(O(\sqrt n)\)也是可以接受的对吧 化简式子得\(gcd(\frac{x}{a_1},\frac{a_o}{a_1})=1\)和\(gcd(\frac{b_1}{x},\frac{b_1}{b_0})=1\) 然后枚举\(b_1\)的因子就可以了 #include<iostream> #include<cstdio> #include<cstring> #include<algorithm> using nam

  • 基于FPGA的2选1多路选择器设计2021-07-26 11:32:33

    1. 项目介绍   多路选择器,也叫数据选择器或多路开关,在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路。数据选择器用于控制有效数据的输出,能够通过地址选择线来选定相应的通道作为输出,提高了数据的传输效率。(数据分配器用于数据的传输途径,在信号传输过程中能够通过

  • 2021牛客暑期多校训练营1&22021-07-19 23:58:08

    题目整理: 第一场因为起晚了没赶上前半场(汗) 我参与做的是H和K H:Hash Function 题意是给出n个数a1~an,求出一个最小的模数p,使得所有ai对p取模互不相同 1≤n≤500000 0≤a i≤500000 并且ai之间互不相等 标算似乎是FFT还是NTT,不太清楚 我们队的做法是先用0.5s删掉不可能的答案 再

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有