ICode9

精准搜索请尝试: 精确搜索
  • DO254 VHDL编码规范2022-02-24 18:58:53

    Name Phase Title DO254_VHDL.1122 Synthesis Avoid assigning a signal multiple times in the same sequential path. 避免同一时序路径下对一个信号进行多次赋值 DO254_NETLIST.1141 Chip Do not overload a wire with too many drivers. 禁止对同一信号线进行多源

  • VHDL中的delta cycle2022-01-07 16:01:25

           Delta cycle,也被称为增量周期,最早可追溯于1971年,VHDL从CONLAN BCL时间模型中采取了增量周期这一概念,而在CONLAN BCL时间模型中将其称为步进。Delta cycle对VHDL仿真波形过程有着重要影响,是VHDL仿真信号波形形成过程重要的一环。如果我们在设计与仿真VHDL波形过程中没

  • 基于FPGA的数字抢答器VHDL开发2022-01-03 17:34:10

    Control: Div: QiangDa: 附:http://www.jh-tec.cn/archives/7192

  • VHDL中信号与变量的区别及赋值的讨论2021-12-18 11:31:23

    VHDL中信号与变量的区别及赋值的讨论(对某位大佬的补充) 一 信号与变量的区别 变量声明使用variable,赋值符号位:= 而信号声明用signal,赋值符号为<= 2、有效域不同 1、变量只能用在进程函数体,子程序内部 2、信号不能再进程中声明,信号用在结构体、实体、程序包。 敏感信号表中只

  • 在Quartus II中编译写好的VHDL文件总是出现fatal error的弹框,弹框内容为 Fatal Error: Access Violation at 0X047FC728Module···2021-12-13 19:32:36

     为什么在用QuartusII编译一个.vhd文件时会出现fatal error(致命错误)的弹框,问题是那个文件之前别人编译过还没有报错,而且在实验室编译成功过换自己的电脑就不行!相信大家遇到这个问题肯定都很疑惑,小编也是被这个问题折腾了好几天,现在就来说说小编的看法。 弹框内容为*** Fatal Er

  • VHDL中的函数2021-11-26 20:32:43

    VHDL中的函数 (1)函数的一般形式 函数的一般形式如下: 函数定义 is 函数说明部分 begin 函数语句部分 end [函数类型] [函数名] 在函数定义部分,要说明函数名、函数的参数及返回的类型; 函数说明部分,有类似于进程语句的说明区,说明变量、常量和类型,但不能说明信号; 在函数语句部分用

  • [VCS]提高与 X 态相关的仿真和调试的效率2021-11-26 12:32:37

    提高与 X 态相关的仿真和调试的效率 Verilog 和 VHDL 通常用于对数字设计进行建模。设计人员使用 RTL 构造来描述硬件行为。但是,某些 RTL 仿真语义不足以准确模拟硬件行为。因此,与实际的硬件行为相比,仿真结果要么过于乐观,要么过于悲观。 由于这些语义限制,Verilog 和 VHDL RTL

  • FPGA编程语言VHDL OR Verilog2021-11-18 15:33:41

    -- 1)实体部分 //verilog module AD9517_Cfg ( i_9517cfg_CfgClk , // 数据时钟 i_9517cfg_CfgClk180 , // 配置时钟,与数据时钟反向180度 i_9517cfg_Arst_n , // 全局复位 o_9517cfg_SpiClk , // 输出SPI时钟 o_9517cfg_SpiDat , // 输出SP

  • VHDL与verilog中移位运算2021-11-10 15:31:18

     【4楼】 lishantian为什么不能被综合啊?VHDL的类型限定过于强,以至于很多时候出问题都是类型错误……VHDL语言本身的这几个运算符是对bitvector定义的,而我们一般都用std_logic_vector,这样就很导致一般不能编译通过。而更不爽的是ieee.numeric_bit或者numeric_std包中都有重载sll之

  • 【乌拉喵.教程】进一步学习编写TestBench(VHDL语言),quartus与modelsim时序仿真2021-10-27 11:34:40

    今天为数字钟写display显示代码,还是要用到testbench和modelsim,上次的方法感觉好乱好乱的,今天在前面学习的基础上又查找资料,学到了新的方法,有了新的体会,在这里记录下来。有部分图片啥的是从前面的文档里复制的,更新的主要是今天学习的操作方法。 注意,从今天开始,testbench使用VHDL

  • VHDL编译错误2021-10-24 16:06:24

    Error (10818): Can't infer register for "cnt[0]" at ctr.vhd(20) because it does not hold its value outside the clock edge 原因:vhdl报错Error (10818): Can't infer register for q[0] at dianzhen.vhd(37) because i_百度知道https://zhidao.baidu.com

  • fpga vhdl 基础知识 根据2-8原则,你只需要熟悉掌握2成基本操作就可以熟练地实现大部分基本功能2021-09-20 20:03:53

    vhdl 稍微老久一些,用起来还可以,受c语言影响大,要加入头文件,声明函数 但又不太像c,不仅仅是并行不并行。存在周期比较长,像c一样 维护了很多的库文件。verilog 做的有点现代化语言便捷了一些,像python java, 用起来更像c语言。 vhdl常用知识 1.function  和 procedure 过程区别,functio

  • VHDL硬件描述语言学习笔记(三)2021-09-19 14:58:41

    文章目录 1.4.5 return 语句1.4.6 null语句1.4.7 其它语句和说明 1.5 VHDL并发语句(Concurrent) 1.4.5 return 语句 return语句只能用于子程序中,并用来终止一个子程序的执行。 格式:return [表达式]; 分为:1)return;用于过程,只是结束过程,不返回任何值。 2)return 表达式;用于函数

  • 基于FPGA的呼吸灯的实现(vhdl实现)2021-09-12 22:00:30

    实验原理 对于FPGA的引脚电压只有“0”和“1”两个电平,不能通过逐渐增加电压再逐渐减小电压实现要求,但是可以通过改变引脚单位时间内高电平的输出时间来实现呼吸灯,也就是让FPGA引脚输出一系列PWM波信号并不断改变PWM波的占空比实现呼吸灯的功能。 实现设计 pwm 输出模块占空

  • VHDL运算符和库的使用2021-08-24 14:00:06

    VHDL的运算符号VHDL中主要有六类运算符号:赋值运算、逻辑运算、算术运算关系运算、连接运算、移位运算运算符号主要用于各类表达式中; 运算可以分为单目运算(只有一个运算量)和双目运算(针对两个运算量);进行双目运算时,两个运算量必须类型相同;VHDL中运算没有左右优先级差别,同一

  • VHDL VGA 时钟处理 转盘形式 源代码2021-07-18 09:34:53

    library ieee; use ieee.std_logic_1164.all; entity vga_display is port(rst_n:in std_logic ; clk :in std_logic ; right_a:in std_logic; left_a:in std_logic; up_a:in std_logic; down_a:in std_logic; xpos:in integer; ypos:in integer;

  • VHDL的并行语句2021-05-29 21:05:47

    并行语句是硬件描述语言的一大特点,与像c语言不同的是,并行语句的执行是并行的,不会因为书写顺序的前后而产生执行时的先后。VHDL语言支持的并行语句主要有以下7种: 端口映射语句信号赋值语句when条件选择语句generate语句进程语句过程调用语句块语句 并行语句在VHDL程序中的位置

  • FPGA学习笔记05-VHDL语法基础-类属语句(GENERIC)2021-05-26 11:34:47

    一、概述   类属参量是一种端口界面常数,常以一种说明的形式放在实体或块结构体前的说明部分。   类属为所说明的环境提供了一种静态信息通道。   类属与常数不同,常数只能从设计实体的内部得到赋值,且不能再改变,而类属的值可以由设计实体外部提供。   因此,设计者可以从外面

  • FPGA学习笔记04-VHDL语法基础-PROCEDURE&FUNCTION2021-05-18 14:34:30

    1.  PROCEDURE(过程语句)和FUNCTION(函数语句)的区别   PROCEDURE FUNCTION 返回值 多个返回值、不提供返回值 单个返回值 参数 输入、输出、双向参数 输入参数(信号,常量) 结构 过程首、过程体 函数首、函数体 位置 程序包、结构体、进程 程序包、结构体、进程 相似 顺

  • FPGA学习笔记03-VHDL语法基础-信号代入语句2021-05-17 11:04:54

    三、信号代入语句   信号代入语句分为三种类型:并发信号代入语句、条件信号代入语句、选择信号代入语句; 1.    并发信号代入语句 1 d <= data0 2 信号量 <= 敏感信号量表达式 只要data0有变化,该语句就执行一次代入活动; 信号代入语句在进程内部使用时,它作为顺序语句的形式出

  • VHDL六层电梯控制器及仿真2021-05-16 15:31:27

    六层电梯电梯 设计要求:设计一个六层电梯控制系统要求有超载、关门中断、提前关门清除报警信电梯外人的上升请求信号,电梯外人的下降请求信号, 电梯外人上升请求信号显示电梯外人下降请求信号显示  到达楼层信号  设计思路:输入有clk 时钟信号(频率为2Hz) full,deng,quick,clr 

  • VHDL——含异步清零的4状态同步有限状态机2021-04-17 09:03:57

    1.VHDL语言 package mtype is --定义一个包,包中包含的通用定义可以在整个VHDL或多个设计中共享使用。 type state_t is (s1,s2,s3,s0); end mtype; library ieee; use ieee.std_logic_1164.all; use work.mtype.all; entity s4_machine is

  • 【01】CPFSK调制解调的MATLAB与FPGA实现(Altera/Quartus/Verilog&VHDL)2021-03-19 21:07:10

    专业综合设计题目是FSK的MATLAB仿真与FPGA实现。感谢网上的前辈提供的资源和各种问题的解决方法,我也记录一下我的经验!(本人比较菜,笔记仅供参考,欢迎批评指正) 我用的FPGA芯片是EP4CE6F17C8(资源挺少的,我好穷乌乌),在淘宝买的黑金开发板和ADDA模块。 软件用的是quartus13.1(web版)和q

  • EDA与VHDL题目——七人表决器2021-02-11 14:01:10

    EDA与VHDL题目——七人表决器 代码 LIBRARY IEEE; --七人表决器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY VOTE IS PORT(a,b,c,d,e,f,g:IN STD_LOGIC; -- 七输入 o:OUT STD_LOGIC); -- 一输出 END ENTITY VOTE; ARC

  • EDA与VHDL题目——数字钟2021-02-11 13:59:15

    EDA与VHDL题目——数字钟 代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clock is port ( clk : in std_logic; reset : in std_logic; reset2 : in std_logic; xianshi : out std_logic_vector

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有