ICode9

精准搜索请尝试: 精确搜索
  • Spyglass CDC工具使用(四)2022-07-07 16:06:33

      最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass之CDC检查(4) | 码农家园 (codenong.com) 文章目录 同步方法 哪些信号需要同步 常用同步方法 Rules AC_unsync01/02 AC_sync01

  • Spyglass CDC工具使用(二)2022-07-07 15:35:52

      最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass检查之CDC(2) | 码农家园 (codenong.com) 文章目录 Clock_info01 Reset_info1 Setup_clock01 本文主要介绍cdc_setup过程中的rul

  • Spyglass CDC工具使用(三)2022-07-07 15:35:27

      最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass检查之CDC(2) | 码农家园 (codenong.com)   文章目录Clock_info03aClock_info05a/bClock_info18本篇文章主要介绍CDC检查第二个过

专注分享技术,共同学习,共同进步。侵权联系[81616952@qq.com]

Copyright (C)ICode9.com, All Rights Reserved.

ICode9版权所有